【vivado UG学习】UG470:7 Series FPGAs Configuration学习笔记

Spartan-7 : 密度最低,成本最低。
Artix-7 : 性能-功耗,带宽-功耗比值最好,成本敏感,大容量的设计。
Kintex-7 : 性价比最高。
Virtex-7 : 系统性能最高。

1 配置概述

7系列fpga可以:

  • 自己从非易失性存储介质中加载比特流。
  • 用外部控制源,如微处理器、DSP处理器、微控制器、PC机或电路板测试器。

两种配置方式都有两种产生配置数据的路径:

  • 第一个是串行数据路径,用于最小化引脚要求。
  • 第二个数据路径是8位、16位或32位数据路径,用于更高的性能或访问(或链接)到工业标准接口,对于处理器或x8或x16并行闪存等外部数据源非常理想。

像处理器和处理器外围设备一样,Xilinx fpga可以在系统中按需无限次地重新编程进入翻译页面

由于Xilinx FPGA配置数据存储在CMOS配置锁存器中(CCLs)中,所以掉电了需要重新配置。bit流通过特殊的配置引脚加载比特流配置文件。这些配置引脚作为不同配置模式的接口:

  • Master-Serial配置模式
  • Slave-Serial配置模式
  • Master SelectMAP(并行)配置模式(x8和x16)
  • Slave SelectMAP(并行)配置模式(x8、x16和x32)
  • JTAG/boundary-scan配置模式
  • 主串行外设接口( Serial Peripheral Interface,SPI) flash配置模式(x1, x2, x4)
  • 使用并行NOR flash的主字节外设接口(Byte Peripheral Interface,BPI) flash配置模式(x8和x16)

术语主或者从指的是配置时钟(CCLK)的方向。

  • 主:fpga内部振荡器产生CCLK。
  • 从:CCLK是外部输入的。

通过在专用模式输入引脚M[2:0]上设置适当的电平来选择特定的配置模式。
M1和M0模式引脚应通过上拉或下拉电阻(≤1kΩ)设置恒定直流电压水平,或直接连接到地或VCCO_0。
模式引脚不可在配置期间或配置后切换。

1.3 设计考虑

每种配置模式都会在配置阶段临时占用一些引脚,这些引脚在配置完成后会释放。

bit流文件的长度
每个FPGA部件类型的完整位流具有固定长度。

基于SSI技术的3D集成电路
具有两个或两个以上超逻辑区域的器件采用SSI (stacked silicon interconnect)技术。采用堆叠硅互连技术设计的Virtex-7 fpga支持与单片7系列器件相同的配置模式。
全局配置功能默认从3D IC的主超逻辑区域(SLR)控制。3D ic的SPI和JTAG配置限制了ICAP读写访问。

2 配置接口

7系列fpga配置模式:

配置模式M[2:0]总线位宽CCLK 方向
Master Serial000x1Output
Master SPI001x1,x2,x4Output
Master BPI010x8,x16Output
Master SelectMAP100x8,x16Output
JTAG101x1Not Applicable
Slave SelectMAP110x8,x16,x32Input
Slave Serial111x1Input

2.1 配置引脚

在这里插入图片描述

外部主配置时钟(EMCCLK)选项
外部主配置时钟(EMCCLK)

  • 对于主模式:FPGA可以选择切换到EMCCLK作为时钟源,而不是内部振荡器,用于驱动内部配置引擎。EMCCLK频率可以通过位流设置(ExtMasterCclk_en)选择性地划分,并作为主CCLK信号转发输出。
  • 对于JTAG和从模式:EMCCLK在JTAG和从模式时可以不连接。

默认情况下,Master配置模式使用内部生成的配置时钟源CCLK。使用这个时钟选项很方便,因为不需要外部时钟发生器源。但是,对于那些需要减少配置时间的应用程序,应该使用外部主配置时钟(EMCCLK)。
EMCCLK时钟允许使用一个更精确的外部时钟源比FPGA的内部时钟与主CCLK频率公差(FMCCKTOL)。例如,当主CCLK的最大频率为100 MHz时,50%的容忍意味着“配置速率”不能超过66mhz。然而,外部时钟源可以在规格允许的范围内尽可能快地应用。7系列fpga支持在主模式下动态切换到外部时钟源(EMCCLK)。

通过以下方式启用外部时钟源选项:

  1. 启用ExtMasterCclk_en位流生成选项。
  2. 定义EMCCLK目标电压。
  3. 将板子上的EMCCLK连接到板子的振荡器或其他时钟源。

专用资源可以在配置逻辑之前将EMCCLK输入除以2,4或8,或使用满速率(除以1)。ExMasterCclk_en选项在Vivado中通过BITSTREAM.CONFIG.EXTMASTERCCLK_EN设置。
set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN Disable|div-8|div-4|div-2|div-1

默认是Disable(使用内部CCLK)。

EMCCLK信号必须实例化并在提供I/O标准定义的设计中使用,因为EMCCLK是一个多用途引脚,否则电压水平将从bank 14中定义的另一个引脚获取。

2.2 串行配置方式

JTAG模式的优先级比其他模式高。
与JTAG的连接图:

在这里插入图片描述

从串行配置
从属串行配置通常用于串行菊花链中的设备,或从外部微处理器或CPLD配置单个设备时:

在这里插入图片描述

主串行配置
典型的主SPI配置模式:

在这里插入图片描述

3 边界扫描和JTAG配置

7系列完全符合IEEE标准1149.1测试访问端口(Test Access Port)和边界扫描架构。该体系结构包括IEEE 1149.1标准中定义的所有强制性元素。这些元素包括TAP、TAP控制器、指令寄存器、指令解码器、边界寄存器和旁路寄存器。7系列还支持32位设备标识寄存器和配置寄存器。

Test Access Port (TAP)
7系列FPGA TAP包含4个强制性专用引脚,根据7系列器件的协议和典型的JTAG架构。三个输入引脚和一个输出引脚控制IEEE Std 1149.1边界扫描TAP控制器。可选的控制引脚,如测试复位(TRST),和使能引脚可能发现从其他制造商的设备。在将Xilinx设备与来自不同供应商的部件进行接口时,一定要注意这些可选信号,因为它们可能需要被驱动。
IEEE Std 1149.1边界扫描TAP控制器是一个状态机(16个状态)。

TAP的四个必配引脚:

引脚方向预配置内部上/下拉电阻说明
TDIinPull-up测试数据输入。这个引脚是所有JTAG指令和数据寄存器的串行输入。TAP控制器的状态和当前指令决定TDI引脚为特定操作提供的寄存器。TDI有一个内部电阻上拉,如果引脚没有驱动,则为系统提供逻辑高。TDI应用于TCK上升边缘的JTAG寄存器。
TDOoutPull-up测试数据输出。这个引脚是所有JTAG指令和数据寄存器的串行输出。TAP控制器的状态和当前指令决定了为特定操作提供给TDO的寄存器(指令或数据)。TDO在TCK的下降边缘上改变状态,并且只有在通过设备的指令或数据移动时才激活。TDO是一个主动驱动输出。TDO有一个内部电阻上拉,如果引脚没有活动,则提供逻辑高。
TMSinPull-up测试模式选择,这个引脚通过TCK上升边缘上的TAP控制器决定状态的顺序。TMS有一个内部电阻上拉,如果引脚没有驱动,则提供逻辑高。
TCKinPull-up测试时钟。这个引脚是JTAG测试时钟。TCK对TAP控制器和JTAG寄存器进行排序。TCK有一个内部电阻上拉,如果引脚没有驱动,则提供逻辑高。

边界扫描时序参数
时序图:

在这里插入图片描述

多设备配置:
在这里插入图片描述

配置详细信息

5.1 配置数据文件的格式

Xilinx设计工具可以生成多种不同格式的配置数据文件。在ISE工具中,BitGen将par后的NCD文件转换为配置文件或位流。PROMGen是一个PROM文件生成器,可以将一个或多个位流文件转换为一个PROM文件。等效的Vivado Tcl命令如下WRITE_BITSTREAM WRITE_CFGMEM。PROM文件可以以多种不同的文件格式生成,不需要与PROM一起使用。它们可以储存在任何地方,并通过任何方式运送。
文件格式有:BIT、RBT、BIN、MCS、HEX

5.3 配置序列

7系列FPGA的配置流程,8个步骤:
在这里插入图片描述

  • 6
    点赞
  • 58
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: ug470_7series_config是用于配置Xilinx 7系列FPGA器件的文件。在这个文件中,可以设置FPGA器件的各种参数和功能。 首先,ug470_7series_config允许用户配置FPGA器件的引脚分配。用户可以根据自己的需求选择将哪些引脚用于输入、输出或其他功能。通过合理配置引脚,可以满足不同设计需求,确保FPGA器件的正常工作。 此外,ug470_7series_config还允许用户对FPGA器件的时钟进行配置。用户可以设置时钟频率、相位等参数,以确保FPGA器件的时序要求得到满足。时钟配置的准确性对于FPGA器件的正常运行至关重要,因此ug470_7series_config提供了详细的时钟配置选项。 ug470_7series_config还提供了功能和特性配置选项。用户可以根据需要开启或关闭不同的功能,例如FPGA器件的逻辑模块、存储器、DSP等。这样可以灵活配置FPGA器件的资源,以满足不同应用场景的需求。 除了上述配置选项,ug470_7series_config还支持FPGA器件的电源管理和I/O标准配置。用户可以设置不同的电源模式和电压,以及选择不同的I/O标准和电平,以适应不同的外部接口要求。 总之,ug470_7series_config是一种重要的配置文件,通过它可以对Xilinx 7系列FPGA器件进行全面的配置,满足不同应用场景的需求。 ### 回答2: ug470_7series_config是指Xilinx 7系列FPGA器件的配置文档。在FPGA设计中,配置(Configuration)是指将FPGA器件内部的逻辑资源和寄存器等进行编程,以实现设计功能的过程。 在ug470_7series_config文档中,包含了7系列FPGA器件的配置原理、流程以及相关工具的使用方法。通过阅读该文档,设计工程师可以了解到如何使用Xilinx提供的工具(如Vivado)来生成配置比特流(bitstream),并将其下载到目标FPGA器件中。 该文档详细介绍了配置的各个步骤,包括设计工程师需要了解的配置原理、配置链的定义、配置器件的选择、配置时序的设置等等。此外,文档还说明了如何通过PC机、调试工具或编程器等设备将配置比特流加载到目标FPGA器件中。 ug470_7series_config文档对于FPGA设计工程师来说具有重要意义。通过学习该文档,工程师可以深入了解到FPGA器件内部的配置结构和原理,提高设计效率和可靠性。同时,理解配置原理还能帮助工程师灵活选择不同的配置方式,以适应不同的应用和设计需求。 总而言之,ug470_7series_config是一份关于Xilinx 7系列FPGA器件配置的详细文档,通过研究该文档,设计工程师可以掌握FPGA器件内部的配置原理,并学会使用相关的工具和步骤进行配置实现。 ### 回答3: ug470_7series_config是一种在7系列FPGA设备中用于配置的文件。FPGA设备是一种可编程逻辑芯片,它可以根据配置文件的指令来实现不同的功能。这个配置文件包含了一系列的配置指令,用于设置FPGA设备的逻辑功能、时钟频率、输入输出端口等。通过编写ug470_7series_config文件,可以实现对FPGA设备的灵活配置。 在ug470_7series_config文件中,我们可以设置FPGA设备的逻辑功能。通过编写逻辑指令,可以实现对FPGA内部电路的连接和配置。这些指令可以设置逻辑电路的输入输出端口、时钟源、存储器等,从而实现所需的功能。 此外,ug470_7series_config文件还包含了对FPGA设备的时钟频率设置。通过编写时钟配置指令,我们可以设置FPGA设备的时钟源和频率。这对于需要进行时序控制的应用非常重要,在保证电路正常工作的同时,还可以提高电路的性能。 另外,ug470_7series_config文件也包含了对FPGA设备的输入输出端口配置。通过编写输入输出配置指令,可以设置FPGA设备的输入输出功能。这样,我们就可以通过外部接口与其他设备进行通信,实现数据的输入输出。 总之,ug470_7series_config文件是一种用于配置7系列FPGA设备的文件,通过编写逻辑指令、时钟配置指令和输入输出配置指令,可以实现对FPGA设备的灵活配置。这些配置指令包括逻辑电路的连接和配置、时钟频率的设置以及输入输出端口的配置,可以满足各种不同应用的需求。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

lu-ming.xyz

觉得有用的话点个赞吧 :)

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值