从Vivado2015.2到Vivado2017.1的TCL搬迁笔记

版本搬迁最主要的是IP核的版本升级。

1、IP版本的升级

microblaze, 9.5 -> 10.0

blk_mem_gen, 8.2 -> 8.3

mig_7series, 2.3 -> 4.0

fifo_generator, 12.0 -> 13.1

新fifo_generator不再有rst接口,而是srst。



2、DDR3配置文件重生成

在工程里,mig_7series的自动化配置是通过配置文件完成的,配置文件是先手动配一次,由Vivado生成的。

对照原先的prj文件配置对应参数,生成后的文件在{project_name}.srcs\sources_1\bd\{bd_name}\ip\{ddr_ip_name}文件夹下,是个prj文件,取出来稍微再改改覆盖原prj文件。


  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值