用VHDL编写testbench激励文件

最近在做nandflash的擦写,要用VHDL编写激励文件做仿真,之前一直用的Verilog,现在总结如何用VHDL语言编写testbench。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;

entity (测试平台名)is
end ;

architecture Behavioral of (测试平台名)is
signal a:std_logic;--激励变量声明,无需指定端口类型
signal b:std_logic;
...
signal q:std_logic;

component (待测试文件实体名)--声明待测试元件
	port(a: in std_logic;
		  b: in std_logic;
		  q: out std_logic
		 );
end component;

begin
i1:(待测试文件名)	--连接测试文件
	port map(
			a=>a,
			b=>b,
			q=>q
	        );
	        
clk<=not clk after clk_period/2; --产生时钟信号

pr1:process
begin
(产生激励)
end process;
pr2:process
begin
(产生激励)
end process;
end Behavioral;

  • 10
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值