EDA
文章平均质量分 73
甲六乙
这个作者很懒,什么都没留下…
展开
-
VCS使用记录-选项-错误分析
vcs原创 2024-02-23 13:59:11 · 1650 阅读 · 0 评论 -
VCS仿真选项介绍
VCS仿真流程VCS将.v文件(verilog模型)编译成可执行二进制文件(simv),然后运行可执行文件进行仿真。常用options -l filename 将需要编译的文件的地址写在filename文件下,每行一个文件 -R 编译之后直接运行simv进行仿真 -sverilog 识别system Verilog语法 -full64 在64bit环境下编译和仿真 +v2k IEEE 1364 -2001年的Verilog语法 +noti原创 2022-03-16 21:20:27 · 3868 阅读 · 0 评论 -
verdi -ssf verilog.vf打开多个波形,有的信号显示不完整
在使用verdi -ssf verilog.vf打开波形的时候,有的信号的波形会显示不完整原创 2022-10-10 18:25:20 · 2810 阅读 · 1 评论 -
Vivado中调用vcs仿真
注:用vcs仿真要在testbench中加入生成波形文件的语句方法1只能用dve观察波形,方法2 dve/Verdi都可以1 vivado中直接调用vcs仿真编译仿真库这里是编译xilinx的原语、IP等,编译完成之后在该目录下生成一个仿真初始化文件,VCS对应synopsys_sim.setup文件。其内部会标注vcs仿真使用的仿真库与调用的IP位置,所以生成了仿真库......原创 2018-10-10 14:44:19 · 6184 阅读 · 0 评论 -
VCS——radiant technology
Radiant TechnologyVCS MX Radiant Technology applies performance optimizations to the Verilog portion of your design while VCS MX compiles your Verilog source code. These Radiant optimizations improve the simulation performance of all types of designs...原创 2020-11-01 20:08:18 · 534 阅读 · 1 评论 -
生成fsdb波形
`timescale 1ns\1nsmodule test;initialbegin$fsdbDumpfile("test.fsdb");$fsdbDumpvars(0,test);end...endmodulevcs中加-fsdb选项原创 2020-09-01 15:36:51 · 911 阅读 · 0 评论 -
VCS仿真流程
去中兴面试的时候被问到vcs 的使用方式,现在整理一下。1. three-step flow第一步:analysis——vlogan、vhdlan 在analysis phase中VCS会检查文件的语法错误,并将文件生成elaboration phase需要的中间文件,将这些中间文件保存在默认的library中(也可以用-work指定要保存的library)。 1. analy...原创 2019-10-24 09:18:10 · 10452 阅读 · 0 评论