Vivado中调用vcs仿真

3 篇文章 0 订阅

注:用vcs仿真要在testbench中加入生成波形文件的语句

方法1只能用dve观察波形,方法2  dve/Verdi都可以

1 vivado中直接调用vcs仿真

  1. 编译仿真库

这里是编译xilinx的原语、IP等,编译完成之后在该目录下生成一个仿真初始化文件,VCS对应synopsys_sim.setup文件。其内部会标注vcs仿真使用的仿真库与调用的IP位置,所以生成了仿真库就不要移动了。

点击 Tools > Compile simulation libraries  弹出

Simulator :选择VCS 编译器

Compiled library location  :编译生成的仿真库的位置 (编译完成之后在该目录下生成一个仿真初始化文件,VCS对应synopsys_sim.setup。内部会标注vcs仿真总使用的仿真库与调用的IP位置)

Simulator executable path:VCS的执行(安装)路径

其他的跟上图一样

设置好之后,点击compile,等待编译成功。

  1. 设置第三方仿真器

点击Settings > Toll Settings > 3 rd Party Simulator   如下图:

在Install Paths > VCS 中添加vcs的执行(安装)目录

在Default Compiled Library Paths 中添加刚才编译好了的库位置

  1. 设置仿真器为vcs

Target simulator:选择VCS,会弹出一个对话框,点击YES

Compiled library location:选择刚才编译的仿真库的位置,

如果要在添加一些VCS的编译选项,如果仿真中调用了VMT模型则需要在vcs.compile.vlogan.more_options、vcs.elaborate.vcs.more_options、vcs.simulate.vcs.more_ options 中添加-vera选项,VMT模型中使用了vera语言

  1. 仿真

自动打开dve加载波形,添加需要观察的信号线观察,

2 vivado导出vcs脚本仿真

  1. 编译仿真库

编译过一次就不用再编译了,用的时候指到那个目录就行了

  1. 设置第三方仿真器
  2. 设置仿真器为VCS
  3. 导出vcs脚本

可以修改导出的VCS脚本,增加编译项

点击 file > export > export simulation

Target simulator:VCS

Compiled library location:编译库位置

Export directory :脚本导出的位置

这里导出到桌面的 vcs_sim文件夹,在命令行中运行vcs下的top_tb.sh脚本

执行到出现 gs232 initial begin 没有报错就成功在vcs目录下生成波形文件了,将波形文件加载到dve或者verdi中就可以了

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值