vivado 多线程综合布线

 

set_param general.maxThreads 8    //设置为8线程,默认是2线程
 
get_param  general.maxThreads     //读取当前线程

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中的多线程编译是通过tcl脚本进行设置的。目前Vivado最大可使用的线程是8个\[1\]。使用多个线程可以加快编译速度,但效果并不是非常明显\[2\]。对于单个design run,jobs的量不会影响编译速度\[2\]。在多个design runs时,jobs的量是当前可以同时运行的design run的个\[2\]。在Windows中,默认的线程是2,可以通过tcl脚本来改变线程,但每次打开工程后都需要重新设置\[2\]。在Windows开发中,可以使用tcl指令将最大线程改为8,但每次在执行编译之前都需要输入一次\[3\]。因此,使用多线程编译可以提高编译速度,但具体效果取决于具体的设计和系统环境。 #### 引用[.reference_title] - *1* *2* [vivado多线程编译设置,加快编译速度](https://blog.csdn.net/weixin_43668420/article/details/127203157)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [vivado中设置多线程编译](https://blog.csdn.net/wordwarwordwar/article/details/78245352)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值