Vivado设置多线程编译(附一次性设置方法)

使用Vivado时编译常常花费我们很长的时间今天介绍一下通过更改编译线程数提升编译速度的方法,使原本1个小时的编译时间缩短至50分钟左右

1、获取当前编译线程数的方法

在Tcl Console界面输入命令:get_param general.maxThreads如图windows下默认设置为2。

提示:

VIVADO编译默认线程数如下:

Windows——2线程(default)

Linux——8线程(default)

 

 

2、设置当前编译线程数的方法:

在Tcl Console界面输入命令:

set_param general.maxThreads [number] (1 ≤ [number] ≤ 8

例如:set_param general.maxThreads 8如图所示

 

上述方法每次打开软件都需要重新设置一次性设置的方法和附件已上传百度网盘。

网盘地址:https://pan.baidu.com/s/1rTWh6CfgmM6fObNFRCt1yA

密码:329o

 

Vivado中的多线程编译是通过tcl脚本进行设置的。目前Vivado最大可使用的线程数是8个\[1\]。使用多个线程可以加快编译速度,但效果并不是非常明显\[2\]。对于单个design run,jobs的数量不会影响编译速度\[2\]。在多个design runs时,jobs的数量是当前可以同时运行的design run的个数\[2\]。在Windows中,默认的线程数是2,可以通过tcl脚本来改变线程数,但每次打开工程后都需要重新设置\[2\]。在Windows开发中,可以使用tcl指令将最大线程数改为8,但每次在执行编译之前都需要输入一次\[3\]。因此,使用多线程编译可以提高编译速度,但具体效果取决于具体的设计和系统环境。 #### 引用[.reference_title] - *1* *2* [vivado多线程编译设置,加快编译速度](https://blog.csdn.net/weixin_43668420/article/details/127203157)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [vivado设置多线程编译](https://blog.csdn.net/wordwarwordwar/article/details/78245352)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值