systemverilog新增的always_comb,always_ff,和always_latch语句

本文介绍了SystemVerilog中新增的always_comb、always_ff和always_latch语句,详细阐述了它们的用法和在FPGA开发中的重要性。通过理解这些语句,可以更好地进行硬件描述语言编程,提高设计的清晰度和可读性。
摘要由CSDN通过智能技术生成

转自:https://www.cnblogs.com/zeushuang/p/7966679.html

Verilog中,设计组合逻辑和时序逻辑时,都要用到always:
always @(*) //组合逻辑
  if(a > b)
    out = 1;
  else
    out = 0;
always @(posedge clk) //时序逻辑 flip-flop触发器
  if(en) 
    out <= in;
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值