安路FPGA-TangDynasty软件学习笔记二 仿真

1.前言

前面学习了安路FPGA-tangDynasty的大部分操作,现在具体学习TD和modelsim联合仿真。在查阅官方软件操作的手册时,惊叹于手册语言是中文,操作过程描述很详细。软件操作指南如图所示软件用户手册指导

2.操作

大型模块在生成bit流之前一般需要进行功能仿真和时序仿真,TD软件不能直接仿真,需要借助第三方仿真工具。TD软件能提供仿真所需的功能模型和时序模型,然后将这些生成的模型放在modelsim中进行编译。

2.1TD生成仿真模型

2.1.1设置相关参数:

① Process → Properties → Optimize RTL:set rtl_sim_model ON。在这里插入图片描述
② Process → Properties → Optimize Gate: set gate_sim_model ON。
在这里插入图片描述
③Process → Properties → Optimize Routing:set phy_sim_model ON。
在这里插入图片描述
④Process → Properties → Timing Option:set sdf ON。在这里插入图片描述
⑤Process → Properties → Simulation:
需要在lib的位置自己手动添加一个路径作为指定仿真的库文件存放路径
设置完以上内容记得保存以下(save)
在这里插入图片描述

2.1.2 创建仿真文件

①右击Hierarchy
②点击New sourse
③选择file type(文件类型):这里选择Verilog test bench
④仿真文件命名
⑤仿真文件存放路径 (记住这个仿真文件路径)
在这里插入图片描述

2.1.3 运行仿真

①tools -> simulation
②选择刚刚 2.1.2 中存放仿真文件的路径,然后点击 ok
在这里插入图片描述
然后会在工程目录下生成脚本文件do文件(一般名称为工程名_phy_sim.do),此时TD软件的操作告一段落。
在这里插入图片描述

2.2Modelsim 仿真流程

2.2.1 modelsim配置TD的仿真模型

① 在modelsim的安装目录下,新建一个文件夹,如:anlogic。
在这里插入图片描述
②在anlogic文件下新建文件夹,如:TD_model_sourse。(这个文件夹存放TD软件的仿真模型源文件)
在这里插入图片描述
③复制TD软件安装路径sim_release目录下的所有文件放到TD_model_sourse文件夹中。
在这里插入图片描述
上图为TangDynasty 安装路径下各类型器件的仿真模型源文件,可以根据自己的器件复制对应文件到TD_model_sourse文件夹中。
在这里插入图片描述
上图为Modelsim软件中TD_model_sourse文件夹复制好后的情况。

④打开modelsim,在file -> new -> library 中新建库,并命名,如TD_model_ver。
在这里插入图片描述
⑤点击compile -> comple;
library选择刚建立的TD_model_ver;
查找范围选择TD_model_sourse路径下自己所需文件;(这里我选择的是ef3);
选中elf3所有的文件;
勾上 compile selected files together;
点击compile;
在这里插入图片描述

2.2.2 modelsim仿真

① 在modelsim中,点击file -> new -> project 新建工程,如demo在这里插入图片描述
② 点击 add existing file 添加设计文件,选择TD软件写好的设计源文件和testbench文件,点击OK。
在这里插入图片描述
③选中需要编译的文件,然后点击compile -> compile all
在这里插入图片描述
编译成功后,源文件的状态将会由“?”变成“√”。
在这里插入图片描述
④点击simulation -start simulate,在work library中选择testbench进行仿真,将Enable opimization前面的勾去掉。然后在library选项中添加仿真模型库,然后点击ok。在这里插入图片描述
在这里插入图片描述
⑤点击run -> run all,出现仿真波形。
在这里插入图片描述

2.3 补充

2.3.1如果testbench出现编译失败

①若仿真时碰到关于 glbl 的问题,需要testbench 中引用 Anlogic 的 glbl 模块
在这里插入图片描述

2.3.2如果仿真加载出现 load design error

[求助]解决load design error

  • 13
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值