【安路FPGA】FPGA开发日记(一)

本文介绍了如何安装安路FPGA的开发环境,包括解决安装问题,创建新项目,添加.v文件,编写和编译代码。接着,文章详细阐述了使用Modelsim进行联合仿真的步骤,包括新建仿真库和工程,以及处理错误的方法。
摘要由CSDN通过智能技术生成

一、开发环境的安装

首先去安路官网下载安装包工具与资料下载-国产FPGA创新者 - 安路科技

下载后解压点击安装包直接傻瓜式安装即可

备注:安装后无法打开或者缺文件等情况是安路安装包不包含一些C++库,需要我们自己下载一个

DirectX修复工具(最好下载最新版,因为最新版C++库更完善)

 二、一切准备工作做完开始建立第一个属于我们自己的过程,首先打开TD开发环境这里以点亮一个LED灯为演示。

Project→new Project→输入项目名称、选择存放位置与开发板芯片型号→点击OK

 三、工程建立后添加/新建.v文件

添加现有的.v文件  Add→选择文件存放位置→双击添加ok确认

 文件添加成功

 四、编写代码运行

 文本编译工具添加在1的位置可以添加我们自己的文本编译软件,在2的位置为安路自己的编译软件

 代码编写

 在1的位置点击编辑,如果编译不通过文件会出现在2的位置,2的位置为存放报错文件,3的位置可以看到保存信息等等

 五、代码编译成功联合仿真验证Modelsim

第一步在modelsim安装路径下新建Anlogic→src文件夹用来存放仿真库在TD安装路径内拷贝库文件,并把.v文件与tb文件拷贝到同一目录下

 

第二步、打开modelsim软件 为TD新建一个库,首先新建一个库包

 

 然后把TD库放进去

 新建仿真工程,建立相对应芯片的仿真库,并添加设计和仿真文件

 点击仿真,这里要把仿真文件与tb文件都要存放进去,双击报错信息可以看到具体哪行错误

 

 

 

最终完成仿真 

  • 7
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值