【FPGA & Verilog】⼋选⼀数据选择器

⼀ 实验⽬的

1. 掌握组合逻辑电路的设计⽅法

2. 掌握Quartus II软件⽂本输⼊设计的流程

⼆ 实验内容

2.1 设计输⼊

1. 模块名称:MUX81

2. 输⼊输出:IN[7:0],SEL[2:0],OUT

2.2 引脚约束

1. 输⼊端 ⾃定义

2. 输出端 ⾃定义

2.3 设计要求

1. 使⽤“连续赋值”和“过程赋值”两种⽅案分别实现

2. “过程赋值”需要使⽤ case 语句

3. 使⽤RTL View分析电路的区别

2.4 电路仿真1. 激励⽂件

2. 功能仿真

三 实验报告

1. 设计代码、RTL视图

2. 仿真结果

3. 报告中附代码和仿真结果截图

1.路径设置&创建verilog文件

2.代码 (过程赋值 和连续赋值)

module MUX81(IN,SEL,OUT);   //过程赋值

input [7:0]IN;

input [2:0]SEL;

output reg OUT;

always@(*)

begin

case(SEL)

  3'b000:  OUT = IN[0];

  3'b001:  OUT = IN[1];

  3'b010:  OUT = IN[2];

  3'b011:  OUT = IN[3];

  3'b100:  OUT = IN[4];

  3'b101:  OUT = IN[5];

  3'b110:  OUT = IN[6];

  3'b111:  OUT = IN[7];

  default: OUT = 1'bx;

endcase

end

endmodule

//module MUX81(IN,SEL,OUT);     //连续赋值

// input [7:0]IN;

// input [2:0]SEL;

// output OUT;

//   assign OUT = IN[SEL];

//endmodule

3.RTLview :

IN[7:0]为8个1bit的数据,在仿真时设置为Random,随机

SEL[2:0] 3个1bit ,SEL 为000~111 (0~7)

从IN中选出对应的值作为OUT 的输出

  • 8
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Verilog数据选择器是一种常见的数字逻辑电路,用于从四个输入中择一个输出。 该数据选择器由两个输入信号组成:两个择信号(S1和S0)和四个输入信号(A、B、C和D)。择信号用于确定哪个输入信号会通过选择器输出。 当择信号为00时,输出信号为A;当择信号为01时,输出信号为B;当择信号为10时,输出信号为C;当择信号为11时,输出信号为D。 这个数据选择器可以由逻辑门实现。通过将择信号与输入信号和门连接,然后使用与门、或门和非门来实现。 具体而言,我们可以使用一个2输入的与门,将择信号与输入信号进行连接,然后将其输出连接到两个2输入的或门的一个输入端。另一个输入端接地。 择信号S1和输入信号A直接连接到一个2输入的与非门,并将其输出连接到第一个或门的另一个输入端。 择信号S0和输入信号B连接到第二个2输入的与非门,并将其输出连接到第二个或门的另一个输入端。 择信号S1和输入信号C直接连接到一个2输入的与非门,并将其输出连接到第三个或门的另一个输入端。 择信号S0和输入信号D连接到第四个2输入的与非门,并将其输出连接到第四个或门的另一个输入端。 通过这样的逻辑门连接,我们可以实现一个四数据选择器。 总之,Verilog数据选择器是一个重要的数字电路组件,通过择信号和输入信号之间的逻辑门连接,可以根据择信号的值择一个输入信号作为输出信号。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值