Quartus II管脚分配

 前言

        相信很多初学数字电路的同学都会遇到过这个困难,那就是怎么给我的开发板分配管脚?其实网上有好多博主都对此有过讲解,但也有好多同学问我怎么分配管脚,为此我特地写下这篇文章供大家学习参考。

        作为一名工程师(或准工程师),我们学习的一个重要阶段就是要学会看官方说明文档,然后根据产品电路图做我们的二次开发或学习。我们要树立一个观点———只有自己实验过的,亲自动手做过或者看到的才能相信。拿到开发板和示例程序,我们不要因为程序不能在开发板上运行就认为是板子坏了或者到处求代码浪费一些时间。“官方说是对的,难道就真的是对的吗?”,所以我们要认真细心对待,因为硬件开发的时间和金钱的成本都很高。

        下面我将从我实际开发中的一些流程对Quartus II的管脚分配进行讲解。是我在一次比赛中的一个AD/DA案例,使用的是黑金的开发板和采集卡。

Quartus II管脚分配的方法

方法一:导入管脚分配文件

        相信在数电实验时老师给我们做的实验大多都是从原理图连接开始的,这时候老师会给我们管脚分配文件,这时候我们导入管脚文件即可完成分配。

e08929924a264414ad7948e735a27587.png

按照上图的操作后可以得到下面界面:

54efa80d1a41478ea5a4f80033b76f7a.png

        这时,我们找到管脚分配文件后点击ok就好了。如果别人也想要自己的管脚分配文件,那点击上面第一步中的Export Assignments然后导出自己的管脚分配文件就好了。 

 方法二:自己进行管角分配

1、打开管脚分配界面

        这时候我们就需要根据电路图或者产品说明进行管脚分配了,进入管脚分配的方法有下面两种:绿色箭头和红色箭头。

9c44d863768e40e79c0927841306f59a.png

然后会进入管脚分配的界面:

f991f688409f4c5594d1d68cb297e95f.png

一般我们主要关注的是这个界面中的下部

b7f66b8b36624c299f6820ec9d9adc25.png

         其中1是信号的节点名称,主要是我们输入输出信号的名称;2是每个信号在电路板上对应的管脚,我们管脚分配就是分配这个管脚;3这里可以选择只看某一类型的信号:all,input,output...

2、查看说明文档或电路图

        产品连接图和电路图如下,但是要注意看电路板上的管脚标识与电路图是否一致。我这个工程中使用了AD/DA采集卡,所以电路有两个部分:外接采集卡+板卡。

efac5df1aee74dda8d20a8d2e5539288.jpeg

(1)AD/DA高速采集卡部分 

bdacc43facad4c8fb4a9223ade251175.png

 我这里是对AD/DA进行管脚分配,所以我们查看AD/DA功能管脚,我这里以DA为例:

9a363d1fb0084be68670951fb6df71dc.png

        在上图中可以看到芯片的DB0-DB7管脚是进行DA转换输出的,对应在电路板上的是左边排针的输出,排针的管脚5到管脚13就是DA的输出管脚,就是我们要分配的管脚(pin),他后面跟着的红色文字就是这个管脚分配的信号名称(Node Name),不放心的话我们看一下产品说明书:

6135d8d7f8694c14a923083da73bc074.png

【注意】一切管脚分配都是相对芯片而言的。说人话就是:管脚分配时分配的时芯片的管脚。 

        我们看电路图得到的结论与商品说明书一致,说明我们的理解没问题。这里对上面的分配过程作个图示:

26d2b046638e48f499ccd7e16d6db94c.png

(2) 板卡部分

        由于管脚分配都是对芯片进行的,所以了解了外围电路后还需要看开发板的电路图找分配管脚的名称,即分配管脚时Location一栏填的内容。

我们的板卡是通过排针和AD/DA采集卡连接起来的,所以我们找到开发板的连接排针部分:

3552cc9ab6cc4b728ba9553d390bf48d.png

        可以看到排针的管脚5到管脚13(为什么是5-13,因为采集卡的排针和这里的排母的管脚要一一对应连接)对应的管脚是B3-B7,A2-A6。

        举个例子:DA_DB[0]对应采集卡上的管脚13,然后找到开发板上的排针管脚13,那么采集的管脚13对应到开发板上就是B7,所以DA_DB[0]的管脚分配就是PIN_B7。

        有的人可能会问,你这不对呀,你刚才说的管脚分配是对芯片的管脚进行分配,但你现在是对排针进行分配呀?糊涂呀,问这问题的肯定是电路图没学好。这里的电路图是用网络(net)连接,你可以看上面排针B7网络对应的芯片上的位置:

2282a23597704a1b8fffdab4e08e11ab.png

        看到芯片B7网络(B7也是管脚名)对应的管脚B7的作用:IO,DIFFIO,DATA4。这不就和芯片的引脚对应上了吗 

3、管脚分配 

4f2162d65adf41e98b0fbceb02ff7241.png

        根据步骤2的结果进行管脚分配,可以看到上图初始的管脚分配是不正确的,例如:DA_DB0对应的管脚(Location)不是PIN_F7,应该是PIN_B7。 

        所以这时候单击PIN_F7,将它更改为PIN_B7即可,其它的按照上面的步骤重复进行即可。分配完成后如下:

1169f1a97aa84378897119d0f69e7cc2.png

总结

        管脚分配是对芯片的管脚进行分配。如果管脚分配不对的话程序是不能在开发板上正确运行的,甚至开发板直接不工作。管脚分配有两种方法:导入管脚分配文件和自己手动配置。

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

不吃折耳根

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值