VHDL——4选1多路选择器

1.条件信号赋值语句

library ieee;
use ieee.std_logic_1164.all;

entity mux4 is
    port(i0,i1,i2,i3,a,b : in std_logic;
	      q : out std_logic);
end mux4;

architecture rtl of mux4 is
  signal sel : std_logic_vector(1 downto 0);
begin
    sel <= b&a;
	 q <= i0 when sel = "00" else            --条件赋值语句,给q赋值
	      i1 when sel = "01" else
		  i2 when sel = "10" else
		  i3 when sel = "11" ;
end rtl;

2.选择信号赋值语句

library ieee;
use ieee.std_logic_1164.all;

entity mux4 is
    port(i0,i1,i2,i3,a,b : in std_logic;
	      q : out std_logic);
end mux4;

architecture rtl of mux4 is
  signal sel : std_logic_vector(1 downto 0);
begin
  sel <= a&b;
  with sel select            --选择信号赋值语句
    q <= i0 when "00",
	     i1 when "01",
	     i2 when "10",
	     i3 when "11",
	     'X' when others;
end rtl;
  • 10
    点赞
  • 61
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值