VHDL 四选一数据选择器

---------------------二选一数据选择器 底层文件-------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.ALL;

ENTITY MUX IS
    PORT (
        A, B, S : IN STD_LOGIC;
        Y : OUT STD_LOGIC
    );
END MUX;

ARCHITECTURE ART1 OF MUX IS
BEGIN
    Y <= A WHEN S = '0' ELSE
        B;
END ART1; -- ART1

---------------------VHDL 主文件-------------------------------
LIBRARY IEEE;

USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.std_logic_unsigned.ALL;

ENTITY LED IS
    GENERIC (LIMIT : INTEGER := 255);

    PORT (
        sys_clk : IN STD_LOGIC;
        --sys_rst_n : OUT STD_LOGIC;

        MY_LED : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
        MY_KEY : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

        BOARD_LED_RED : OUT STD_LOGIC;
        BOARD_LED_GREEN : OUT STD_LOGIC;
        BOARD_LED_BLUE : OUT STD_LOGIC;
        ------------四选一数据选择器---------------
        D0, D1, D2, D3 : IN STD_LOGIC;
        S0, S1 : IN STD_LOGIC;
        Y : OUT STD_LOGIC
    );
END ENTITY LED;

ARCHITECTURE BEV OF LED IS

    SIGNAL buff : BIT_VECTOR(7 DOWNTO 0) := "11111110";
    SIGNAL CLK_Counter : INTEGER := 0;
    SIGNAL MY_CLK : STD_LOGIC := '0';
    SIGNAL A, B : STD_LOGIC;

    CONSTANT TIME_1s : INTEGER := 24000000; ---1s
    CONSTANT TIME_05s : INTEGER := 12000000; ---0.5s
    ----------------------上升沿函数------------------------------
    FUNCTION positive_edge(SIGNAL s : STD_LOGIC) RETURN BOOLEAN IS
    BEGIN
        RETURN(s'event AND s = '1');
    END FUNCTION positive_edge;
    ----------------------下降沿函数------------------------------
    FUNCTION falling_edge(SIGNAL s : STD_LOGIC) RETURN BOOLEAN IS
    BEGIN
        RETURN(s'event AND s = '0');
    END FUNCTION falling_edge;
    ---------------------元件例化---------------------------------
    COMPONENT MUX IS
        PORT (
            A, B, S : IN STD_LOGIC;
            Y : OUT STD_LOGIC
        );
    END COMPONENT;

BEGIN
    U1 : MUX PORT MAP(D0, D1, S0, A);
    U2 : MUX PORT MAP(A => D2, B => D3, S => S0, Y => B);
    U3 : MUX PORT MAP(A, B, S1, Y => Y);
END ARCHITECTURE BEV;
  • 3
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值