「Verilog学习笔记」RAM的简单实现

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns
module ram_mod(
	input clk,
	input rst_n,
	
	input write_en,
	input [7:0]write_addr,
	input [3:0]write_data,
	
	input read_en,
	input [7:0]read_addr,
	output reg [3:0]read_data
);
	reg [3:0] myRAM[7:0] ; // 一共256个位置 每个位置存放4bit的数据
	integer i ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) 
			for (i = 0 ; i < 256 ; i ++ ) 
				myRAM[i] <= 0 ; 
		else 
			myRAM[write_addr] <= write_en ? write_data : myRAM[write_addr] ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) read_data <= 0 ; 
		else read_data <= read_en ? myRAM[read_addr] : read_data ;
	end

endmodule
  • 10
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值