11 EDA技术实用教程【时序电路的Verilog设计2】

1.同步与异步

⚫在数字电路中,同步是指输入信号和时钟有关,输入信号依 赖于时钟。

⚫异步是指输入信号和时钟无关,输入信号不依赖于时钟。

2. 异步时序电路的Verilog表述特点

没有单一主控时钟的时序电路,或系统中所有 的时序部件不随某个主控时钟同步接受时钟信 号达到状态同步变化的电路都属于异步时序电 路

3. 时钟过程表述的特点和规律 

边沿触发型时序模块的Verilog设计,可以遵循 以下几个规律:

(1)如果将某信号A定义为边沿敏感时钟信 号,则必须在敏感信号列表中给出对应的表述, 如posedge A或negedge A; 但在always过程结构 中不能再出现信号A了。

(2)若将某信号B定义为对应于时钟的电平敏感的异步控制信号(或仅仅是 异步输入信号),则除了在敏感信号表中给出对应的表述外,如posedge B或 negedge B,在always过程结构中必须明示信号B的逻辑行为,如上面例中的 RST。特别注意这种表述的不一致性,即表述上必须是边沿敏感信号,如 negedge RST, 但电路性能上是电平敏感的。

(3)若将某信号定义为对应于时钟的同步控制信号 (或仅仅是同步输入信号),则绝不可以以任何形式出 现在敏感信号表中,如下面例子中的RST

 

 (4)敏感信号列表中不允许出现混合信号。敏感 信号表一旦含有posedge或negedge的边沿敏感信号 后, 所有其他普通变量都不能放在敏感信号表中了。

(5)若定义某变量为异步低电平敏感信号,则在 if条件语句中应该对敏感信号表中的信号有匹配的 表述,以下三种表述方式都是正确的:

 

 (6)不允许在敏感信号表中定义除了异步时序控 制信号以外的信号。在下面的例子中,如果试图使 用以下的表述是错误的

 

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

酥酥_2022

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值