【VHDL语言】【quartus软件】统计位矢中含‘1‘个数的电路模块设计

统计输入的8位位矢中含’1’ 个数的程序

在这里插入图片描述

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNTC IS
PORT (DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ;
     CNTH : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END CNTC;
ARCHITECTURE BHV OF CNTC IS
BEGIN
PROCESS(DIN)
VARIABLE Q : STD_LOGIC_VECTOR(3 DOWNTO 0) ;--信号赋值用 "<=",变量的赋值用 ":="
BEGIN
    Q := "0000";
	 FOR n IN 0 TO 7 LOOP  --n是LOOP的循环变量
		IF (DIN(n)='1')  THEN  Q:=Q+1;
		END IF;
	 END LOOP;
	 CNTH <= Q;
END PROCESS ;
END BHV;

注意:
1、信号赋值用 <=,变量的赋值用 :=
2、变量VARIABLE 和 信号SIGNAL一样,都属于数据对象
3、若是定义a为变量,对其赋值可以写a:='1'

与信号不同,变量的功能主要用于数据的暂存,而且只能在进程中定义变量,所以变量无法将数据直接传递出进程。需要借助语句CNTH<=Q,可以帮助变量Q将数据传出去。

4、顺序语句必须放在PROCESS中;
5、变量的赋值会立刻发生,而信号不行,需要传输;
6、除了变量,所有的赋值都是在END PROCESS之后进行的。

练习代码敲击熟练度的,点击这里

在这里插入图片描述

每天进步一点点 笔记仅供自学,用来回看复习,不一定适合你,如有错误请指出。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

KevinGuo457

哈哈哈资助我买两包辣条叭

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值