数据累加输出---verilog

介绍

本题目来源为牛客网中在线编程>verilog模块,要求实现串行输入数据累加输出,输入端输入8bit数据,每当模块接收到4个输入数据后,输出端输出4个接收到数据的累加结果。输入端和输出端与上下游的交互采用valid-ready双向握手机制。要求上下游均能满速传输时,数据传输无气泡,不能由于本模块的设计原因产生额外的性能损失。
电路的接口如下图所示。valid_a用来指示数据输入data_in的有效性,valid_b用来指示数据输出data_out的有效性;ready_a用来指示本模块是否准备好接收上游数据,ready_b表示下游是否准备好接收本模块的输出数据;clk是时钟信号;rst_n是异步复位信号。
在这里插入图片描述

思路分析

  1. 首先,由时序可知,要在输入四个有效数据以后才输出一个累加结果,为此可以用一个计数器对输入的数计数,当计数到4个有效数据以后,且此时模块已经准备好接受上游数据,即reay_a为高,拉高valid_b。
  2. 当在S1阶段时,此时若ready_b为低,即下游模块没有准备好继续接受上游传输过来的数据,那么会立即拉低ready_a信号,即阻止上游继续传输数据。
  3. 在S2阶段,ready_b被拉高,那么为了实现无气泡传输,ready_a应该也立即拉高,继续接受上游数据。
  4. 对于ready_a,当valid_b信号为低,表明此时未接受到4个有效数据,仍可以继续接受上游数据,即ready_a为高,或者当ready_b拉高时,ready_a也应该为高。

代码实现

module valid_ready(
	input 				clk 		,   
	input 				rst_n		,
	input		[7:0]	data_in		,
	input				valid_a		,
	input	 			ready_b		,
 
 	output		 		ready_a		,
 	output	reg			valid_b		,
	output  reg [9:0] 	data_out
);
    reg [1:0]cnt;
    
    //ready_a 
    assign ready_a = !valid_b | ready_b;
    
    //计数
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n)
            cnt <= 0;
        else if (valid_a && ready_a) 
            cnt <= (cnt == 2'd3)? 2'd0 : (cnt + 1'd1);
    end
   //valid_b signal
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n)
            valid_b <= 0;
        else if (cnt == 2'd3 && valid_a && ready_a)
            valid_b <= 1;
        else if (valid_b && ready_b)
            valid_b <= 0;
    end
    
    //data
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n)
            data_out <= 10'd0;
        else if (ready_b && valid_a && valid_b && (cnt == 2'd0))
            data_out <= data_in;
        else if (valid_a && ready_a)
            data_out <= data_out +data_in;
    end        
endmodule
  • 1
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
Verilog累加器是一种特殊类型的电路,用于存储和累加数据。下面是一个简单的Verilog累加器的例子: ``` module accumulator( input clk, input reset, input [7:0] data_in, output reg [15:0] sum ); always @(posedge clk) begin if (reset) begin sum <= 0; end else begin sum <= sum + data_in; end end endmodule ``` 在这个例子中,accumulator模块有一个时钟输入(clk)、一个复位输入(reset)、一个8位数据输入(data_in)和一个16位数据输出(sum)。该模块使用always块来处理时钟信号,并根据reset信号来重置累加器的值或将输入数据加到累加器中。 sum变量在always块中使用<=赋值运算符来更新。 使用这个模块时,可以将时钟信号和输入数据连接到accumulator模块,并从sum输出端口读取累加器的值。例如: ``` module testbench; reg clk; reg reset; reg [7:0] data_in; wire [15:0] sum; accumulator dut ( .clk(clk), .reset(reset), .data_in(data_in), .sum(sum) ); initial begin clk = 0; reset = 1; data_in = 0; #10 reset = 0; repeat(5) begin #5 data_in = $random; end #100 $finish; end always begin #1 clk = ~clk; end endmodule ``` 这个测试台模块将时钟信号、复位信号和数据输入连接到accumulator模块,并从sum端口读取累加器的值。在initial块中设置时钟和复位信号,并使用$random系统函数生成随机数据。测试台模块中的always块用于生成时钟信号。 这是一个简单的Verilog累加器的例子,可以根据需要进行修改和扩展。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

借问众神明.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值