Exams/2013 q2bfsm

module top_module (
    input clk,
    input resetn,    // active-low synchronous reset
    input x,
    input y,
    output f,
    output g
); 
    parameter S0=0,S1=1,S2=2,S3=3,S4=4,S5=5,S6=6,S7=7,S8=8;
    reg [3:0] state,next_state;
    reg pre_resetn;
    always@(posedge clk) begin
        if(~resetn)
            state<=S0;
        else
            state<=next_state;
    end
	
    always@(*)begin
        case(state) 
            S0: next_state = S8;
            S8: next_state = S1;
            S1: next_state = x?S2:S1; 
            S2: next_state = x?S2:S3; //x 1
            S3: next_state = x?S5:S1; //x 10
            S5: next_state = y?S7:S6;//判断第一个时钟有无1
            S6: next_state = y?S7:S4;//判断第二个时钟有无1
            S7: next_state = S7;//y永久为1
            S4: next_state = S4;//y永久为0
        endcase
    end
    always@(posedge clk) begin
        pre_resetn<=resetn;
        if(pre_resetn==0 && resetn)
        	f<=1;
        else
            f<=0;
    end
  
    //assign f=(state==S8);
    assign g=(state==S5 ||  state==S6 || state==S7);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值