【verilog】关于信号从x或z到0导致的触发情况总结

关于不定态x的情形:

信号 0 --> x  或者 x --> 1 可以触发上升沿事件。

信号 1 --> x  或者 x --> 0 可以出发下降沿事件。

推论:初始时刻t_(0-) = x,因此若时钟信号t_(0+) = 0 相当于t = 0时刻发生了 x --> 0 的变化,可以触发下降沿事件。 

关于高阻态z也有类似的情形,在此直接给出仿真图,不再赘述。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值