Modelsim仿真NCO ip核报找不到asj等库文件的错误的解决方法

在用Modelsim仿真NCO IP核的时候报出了如下错误

# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(116): Instantiation of 'asj_nco_fxx' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(129): Instantiation of 'asj_altqmcpipe' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(147): Instantiation of 'asj_dxx_g' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(156): Instantiation of 'asj_dxx' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(163): Instantiation of 'asj_nco_apr_dxx' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(178): Instantiation of 'asj_nco_pxx' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(191): Instantiation of 'asj_gam_dp' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(203): Instantiation of 'asj_nco_as_m_dp_cen' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(215): Instantiation of 'asj_nco_as_m_cen' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(227): Instantiation of 'asj_nco_as_m_cen' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(241): Instantiation of 'asj_nco_madx_cen' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(252): Instantiation of 'asj_nco_mady_cen' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(265): Instantiation of 'asj_nco_derot' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(274): Instantiation of 'asj_nco_mob_w' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(283): Instantiation of 'asj_nco_mob_w' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) D:/CODE/nco/prj/ip/nco_st.v(295): Instantiation of 'asj_nco_isdr' failed. The design unit was not found.
# 
#         Region: /nco_tb/i_nco/nco_st_inst
#         Searched libraries:
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/220model
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/sgate
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_mf
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/altera_lnsim
#             D:/intelFPGA/13.0/modelsim_ase/altera/verilog/cycloneive
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work
#             D:/CODE/nco/prj/simulation/modelsim/rtl_work

解决方法:

  1. 找到asj库文件的存放文件夹 D:/CODE/nco/prj/ip/nco-library/

  2. 然后把这个文件夹添加到 Simulate—>Start Simulation…—>Libraries里面
    在这里插入图片描述

  3. 然后在Modelsim中重新对nco.vo和nco_tb.v文件进行编译 Compile—>Compile…
    在这里插入图片描述

  4. 然后在Modelsim中重新进行仿真 Simulate—>Start Simulation…
    在这里插入图片描述

  5. 然后就会出现这个界面
    在这里插入图片描述

  6. 然后弹出波形窗口以后依次点击①Restart 和 ②Run -All就会开始出现波形
    在这里插入图片描述
    最后注意,由于NCO IP核仿真需要占用较大的磁盘空间,因此如果磁盘比较小的话可能会出现因为磁盘被占满而仿真中断的情况。
    所以遇到仿真中断的情况的时候需要返回去看一下是否磁盘被占满了。

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值