Quartus中用University Program VWF实现三-八译码器verilog语言的仿真(一步一步很详细)

1. 进入软件页面,新建工程。点击File->New->Verilog HDL File

97f371e3a7324ee2ab711d03978a6c61.png

Verilog语言如下


module decoder_3to8 (
    input wire [2:0] in,   // 三个字节的输入
    output reg [7:0] out   // 八个字节的输出
);

always @(*) begin  //always @(*) 确保每当 in 的值发生变化时,out 会立即根据 case 语句重新计算

    out = 8'b 00000000;  //初始化操作

    case (in)
        3'b 000: out = 8'b 00000001;
        3'b 001: out = 8'b 00000010;
        3'b 010: out = 8'b 00000100;
        3'b 011: out = 8'b 00001000;
        3'b 100: out = 8'b 00010000;
        3'b 101: out = 8'b 00100000;
        3'b 110: out = 8'b 01000000;
        3'b 111: out = 8'b 10000000;
        default: out = 8'b 00000000;
    endcase
end

endmodule

2.对代码进行保存和运行

3fe047f5836a4c88b8e9c5213a4dd5ee.png

225ad15deaf84f3fbf004a95ba3596c5.png

一定要把文件名改为decoder_3to8 不然后面运行不成功

保存完毕后进行运行(start compliation)

082319ce101b447d879c57c0c70c970f.png

3.运行结束后建立University Program VWF,如图

65bee156cbf94fecb31079ac6a2e7eeb.png

选择University Program VWF

129204bf04084aad8de463b3854949c9.png

4.之后在新界面点击Edit -> Insert -> Insert Node or Bus

fad2bc90fb77487ea4924c49052b0ad9.png

点击 Node Finder

354500dfd6184bd08aeee3e58a506639.png

之后按照下图所示点击...  再点击创建的工程decoder_3to8

37b10b0555ca4a5a8f4a58add62337ff.png

点击List 再点击 >>将所有输入输出移到Selecter Nodes,连续退出界面点击 两次 OK,不点OK创建不成功。

bb638b9aff05400ba63ef655bd3b2e00.png

5.调整参数

4dcd4115482947cdbbb0a91151a94809.png

684fa30f601f484fbadba03b81de6543.png

先点击输入量in,再点击Edit->Value->Count Value

576a89b50d824460a8bc9d14dd6ab29b.png

参数设置如下点击OK

bcec35aceddd4510913bdbab7852bec5.png

6.进行仿真

点击箭头可以展开输入量In 如下图所示

42dc0a4aa79e4b79bad737ed03181435.png

之后点击Run Functional Simulation -> Yes ->保存

3c4d545ff2994750a1649451de62c9bd.png

仿真结果如下,ctrl+滑动滚轮可以缩放页面大小查看波形。

97af3aee825e42788fd0e5e9d7bd3c95.png

用University Program VWF实现三-八译码器verilog语言的仿真结束

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值