【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)

实验一: 3-8译码器(原理图输⼊设计)

实验⽬的

 1. 了解3-8译码器的电路原理掌握组合逻辑电路的设计⽅法

 2. 掌握QuartusII软件原理图输⼊设计的流程

实验内容

 2.1设计输

    1. 3-8译码器ABC端作为输⼊,Y作为输出

    2. 其余引脚按照3-8译码器功能要求连接

 2.2电路仿真

    1. 激励⽂件的输⼊包含ABC8种状态

    2. 功能仿真

实验报告

1. 给出3-8译码器的真值表:

 

2. 实验步骤实验内容截图从创建⼯程开始到仿真结束

1.路径设置

 

2.器件选择

3.汇总

 

 

4.创建BDF

 

5.原理图设计

 

6.编译结果

 

7.创建VWF进行功能仿真

波形图中CBA按照000 ,

001 , 010 , 011 ....递增,大功告成

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值