触发器电路仿真与硬件实现

(一)RS锁存器

基本的RS锁存器在Logisim实现可以用两个与非门实现,如下图:
在这里插入图片描述
在实验控制台上可结合芯片74LS00来实现,如下图:
在这里插入图片描述
其逻辑真值表如图:
在这里插入图片描述
然后在控制台上结合74LS00来进行连线验证如图:
在这里插入图片描述
在这里插入图片描述

(二)用与非门构造D型触发器

前面RS触发器当输入R=1,S=1的情况时,输出是不确定的状态,这种情况比较棘手。因此为了解决这个问题,又引入了D触发器。D触发器也叫D锁存器,D触发器实际上是在RS触发器的基础上加上一个控制门其电路图如下:
在这里插入图片描述
在这里插入图片描述
在结合芯片74LS00来设计电路图如:
在这里插入图片描述
在控制台上进行连线验证:
在这里插入图片描述
当CP为0时,无论D如何改变都不会影响Q的值,当CP为1时,Q始终与D的值相等。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值