触发器电路仿真与硬件实现

一、RS触发器实验

(一)RS触发器的定义

RS触发器是用一对交叉耦合的或非门或者与非门构成的储存元件,可以存储一位数据(0或者1)。其中,S是置位(set)输入端,R是复位(reset)输入端。输出值Q是触发器的状态值。

(二)RS触发器的图示、模拟电路图及其分析

1、RS触发器的结构

或非门组成的RS触发器

3a08e5c631b840a2974dda2c1dfe9f2b.jpeg

     图1.电路结构                                     图2.图形符号

与非门组成的RS触发器

4f668ffdfa3a4842b51a324e6360e13c.jpeg

图3.电路结构                                     图4.图形符号

2、RS触发器在logisim中的模拟电路及其真值表

将两个输入端(S置位输入端和R复位端)按如下图所示连接在芯片的引脚位置

(1)或非门组成的RS触发器

我们在搭建模拟电路的过程中,利用芯片74LS02中的或非门IC来充当锁存器中的或非门,并按照RS触发器(或非门)的组成结构图连接电路:

be2fe8e0825a42a8b61da62296ad960a.png

图5.模拟电路图

c8b880bca3614ac89c43db4017f06e47.png   

图6.RS触发器特征表

在上面的“RS触发器特征表”中:0表示低电平,1表示高电平。

对于或非门组成的触发器,存在以下规律:

  • 当S=0,R=0时,电路能稳定保持原先的状态。
  • 当S=1,R=0时,输出端Q为1,而输出端not Q为0,从而保持稳定的输出。
  • 当S=0,R=1时,即有且仅当R输入为1时,即此前的稳定状态被复位,输出端Q变为0,而not Q输出为1.
  • 当S=1,R=1时,这种情况是禁止、不稳定的状态。即当S=1,R=1时Q=not Q=0,此情况是禁止的。

(2)与非门组成的RS触发器

我们在搭建模拟电路的过程中,利用芯片74LS00中的与非门来充当锁存器中的与非门,并按照RS触发器(与非门)的组成结构图连接电路:

21ea83e0ddba4534a437207fac02b186.png

图7.模拟电路图

af148fc7e591402d9c6ab17211f8f35d.png

图8.RS触发器特征表

在上面的“RS触发器特征表”中:0表示低电平,1表示高电平。

对于与非门组成的触发器,存在以下规律:

  • 当S=0,R=0时,这种情况是禁止、不稳定的状态。即当S=1,R=1时Q=not Q=0此情况是禁止的。
  • 当S=0,R=1时,输出端Q为1,而输出端not Q为0,从而保持稳定的输出。
  • 当S=1,R=0时,即有且仅当S输入为1时,即此前的稳定状态被复位,输出端Q变为0,而not Q输出为1。
  • 当S=1,R=1时,电路能稳定保持原先的状态。
3.RS触发器总结:

与非门组成的触发器:

(1)不论是什么状态,

在R端施加低电平能将现在的状态强制转换到“0”态;

在S端施加低电平能将现在的状态强制转换到“1”态;

R与S输入端不能同时为低电平。

(2)R和S输入端的有效电平为低电平。

或非门组成的触发器:

(1)不论是什么状态,

在R端施加高电平能将现在的状态强制转化到“0”态。

在S端施加高电平能将现在的状态强制转化到“1”态。

R与S输入端不能同时为高电平。

(2)R和S输入端的有效电平为高电平。

二、D触发器实验

(一)D锁存器的定义

D锁存器是一种数字电路元件,用于存储和处理数据。它由一个数据输入端(D)和控制输入端(通常是时钟信号)构成。D锁存器有两个稳态:SET和RESET。

(二)D锁存器的图示、模拟电路图及其分析

1.D锁存器的结构

 图9.电路结构                                     图10.图形符号

2、D锁存器在logisim中的模拟电路及其特征表

学习过程中了解到D锁存器是由使能信号控制门电路与简单的RS触发器组成的。按此连接成下图的模拟电路:

图11.模拟电路图

图12.D锁存器特征表

图13.D锁存器的时序图

在上面的“D锁存器特征表”中:0表示低电平,1表示高电平。

对于D锁存器,存在以下规律:

D锁存器存在两个输入端,其中,C端是控制电路锁存信息的使能信号,用于控制何时读取并锁存输入端D的信号。当C为1(信号有效)时,锁存器处于“开状态”,输出端Q的值等于输入端D的值;当C为0(信号无效)时,锁存器处于“关状态”,此时,无论输入端D如何变化,输出端Q都不发生改变。

(三)D触发器的定义

D触发器(D flip-flop)是一种数字电路元件,用于存储一个比特的数据。它是一种时序逻辑电路,具有两个稳定状态,通常称为SET(置位)和RESET(清零)状态。

(四)D触发器的图示、模拟电路图及其分析

学习过程中了解D触发器是由两个D锁存器组成,而D锁存器是由使能信号控制门电路与简单的RS触发器组成的,此处我们选用由与非门组成的RS触发器来组成D锁存器从而组成D触发器。

图14.模拟电路图(D锁存器已进行封装)

图15.D触发器特征表(箭头表示电平降低)

在上面的“D触发器特征表”中:0表示低电平,1表示高电平,“↓”表示电平降低。

对于D触发器,存在以下规律:                                                

  • Clk=1时,主锁存器(左端的锁存器)输出端Q会与输入端D信号变化一致,而从锁存器(右边的锁存器)处于闭状态,故总输出Q保持不变。
  • Clk由高电平变为低电平时,主锁存器(左边的锁存器)锁存当前D的状态,传递至中间输出Q并保持不变。而从锁存器现在处于开状态,即最终输出Q与中间输出Q的状态保持一致。即最终输出Q输出的状态与输入D一致。

综上所述,D触发器最终输出Q只会在时钟Clk电平下降时,对D端进行信号的锁存,其余时间输出端信号具有保持的功能。

实验过程中实际图:

                      

  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 数字设计与verilog实现是一种数字电路设计的方法和工具。数字设计是指使用数字逻辑电路来实现特定功能的设计过程,而verilog是一种常用的硬件描述语言,用于定义和描述数字电路。 数字设计的目的是通过组合逻辑和时序元件的组合,创建一个通过输入信号产生输出信号的数字电路。数字电路是由基本逻辑门和时序元件(如触发器、计数器等)组成,可以实现逻辑运算、计数、存储等功能。 而verilog作为一种硬件描述语言,允许工程师以一种符合逻辑思维的方式描述数字电路的行为和结构,通过编写verilog代码来实现数字电路的设计。它提供了模块化的设计方式,使得设计人员可以将电路划分为模块,方便设计与验证。 使用verilog实现数字设计,首先需要进行电路的逻辑设计,确定所需的输入、输出和电路功能。然后,可以使用verilog语言编写代码来描述电路的结构和行为。编写好的verilog代码可以通过仿真工具进行功能验证,运行仿真并观察输出结果是否符合预期。 当设计验证完成后,可以使用相关的工具将verilog代码综合到目标设备的物理设计中。综合工具会根据电路的功能和结构,将verilog代码转化为物理电路中的门级电路、触发器等元件,最终生成逻辑网表。 综上所述,数字设计与verilog实现是一种常见的数字电路设计方法,通过verilog语言编写代码来描述数字电路的结构和行为,实现基于逻辑的功能设计,并通过综合工具将verilog代码转换为物理电路中的元件,最终实现数字电路的设计与实现。 ### 回答2: 数字设计与Verilog实现是一门通过使用Verilog HDL(硬件描述语言)来设计和实现数字电路的学科。数字设计是计算机工程中的一个重要领域,它涉及到逻辑门、寄存器、计数器、存储器等数字电路的设计和组合。通过数字设计,我们可以实现功能强大的计算机硬件。 Verilog HDL是一种专门用于描述数字电路的高级语言。通过使用Verilog HDL,我们可以描述数字电路的行为和结构,并进行模拟和综合。它具有描述灵活、抽象程度高、可重用性强等优点,因此被广泛应用于数字电路设计。 数字设计与Verilog实现的过程主要包括以下几个步骤:首先,明确要设计的数字电路的功能和性能要求;然后,通过使用Verilog HDL进行电路的逻辑设计,包括选择合适的逻辑门、寄存器和计数器等元件,并通过连线将它们组合起来;接着,使用Verilog进行模拟验证,通过输入测试数据,检查电路的正确性和性能是否满足要求;最后,利用综合工具将Verilog代码转换为逻辑门级的电路网表,以便进行后续的物理实现和布局布线。 数字设计与Verilog实现在计算机硬件工程领域具有广泛的应用。通过使用Verilog HDL,我们能够实现各种复杂的数字电路,例如处理器、图形处理器、网络处理器等。这些数字电路可以被用于构建计算机系统、通信系统、嵌入式系统等。 总而言之,数字设计与Verilog实现是一门重要的学科,它能够帮助我们设计和实现各种复杂的数字电路。通过使用Verilog HDL,我们能够在硬件层面上实现计算机系统的各种功能,并为计算机科学的发展做出贡献。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值