Vivado约束学习

推荐约束顺序:

1.主时钟约束(primary clocks)

2.虚拟时钟约束(virtual clocks)

3.生成时钟约束 (generated clocks)

4.时钟分组(clock groups)

5.总线偏斜约束(bus skew constraints)

6.输入输出延迟约束(input and output delay constraints)

7.不约束路径(false paths)

8.最大/最小延迟(max delay/min delay)

9.多周期路径(multicycle paths)

10.case analysis

11.disable timing

12.物理约束

约束文件的顺序很重要。 您必须确保每个文件中的约束不依赖于另一个文件的约束。 如果是这种情况,则必须最后读取包含约束依赖项的文件。 如果两个约束文件具有相互依赖关系,则必须将它们手动合并到一个包含正确序列的文件中,或者将文件分成几个单独的文件并正确排序。

使用set_property PROCESSING_ORDER EARLY [get_files wave_gen_pins.xdc]来设置约束文件读取顺序,后读取的约束文件会覆盖前读取的约束文件。

使用Tcl控制台中的report_compile_order -constraints命令报告由工具根据上述属性确定的XDC文件读取顺序,包括IS_ENABLED,USED_IN_SYNTHESIS和USED_IN_IMPLEMENTATION。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值