FPGA异步时钟同步化

什么是CEO,就是首席执行官,是在一个企业中负责日常经营管理的最高级管理人员,又称作行政总裁,或最高执行长或大班。

  那么,在系统,需不需要一个最高级别的执行官,来管理所有进程呢?为了系统的有序性,不至于凌乱、崩溃,答案必然是肯定的。

  谁都知道,内部时序逻辑的工作,是通过时钟的配合来完成任务的。那么当系统中有的时候,怎么办?每一个系统必须有一个最高级别的时钟,执行力最强;同时它担任着管理的任务,其它想让手下执行任务,必须告诉执行官,然后执行官去分配任务。所以,一切行动,都必须通过首席执行官的允许,才能进行;不然,没门。首席执行官具有最高支配权。它们之间的关系如下图所示:


wps_clip_image-29006


  因此,对于工程中出现的异步时钟,与最高时钟是对立关系,但这个CEO的地位决定了只有他说了算,不然就会“叛乱”,因此要把那些异步时钟统一管理,这就是所谓的“对立统一”。

  二、异步时钟同步化

  1. 异步时钟种类

  异步时钟有很种类,如下是几种项目中常常出现的情况

  (1)系统异步复位信号

  (2)由其它处理器输入的时钟

  (3)内部组合逻辑产生的时钟

  当然也并非所有异步时钟都要同步化,必须高速ADC,DAC芯片往往有个时钟输入端,这时保证该芯片与该部分逻辑电路同步,可以专门供给一个晶振,来达到更好的效果;同时也不是最高时钟以外的时钟都要同步化,由PLL产生的不同的时钟,本身就是同步的,可以不处理。

  当然在可靠性要求不高的时候,异步复位这些信号也可以不处理,只是,养成良好的习惯,永远不会错。

  2. 异步时钟解决方案

  对于时钟的同步,采用的方法都差不多。Bingo在特权的《深入浅出玩转》中得到启发,相应的简单的描述一下几种关于异步复位信号的同步化。

  (1)异步复位信号的同步化

  此部分其实很简单,应用了上述边沿检测的部分思维,用最高时钟打慢几拍,便实现了与最高时钟的同步。此处不再用Block来累赘的描述,verilog设计代码如下所示:

  /*****************************************************

  * Module Name : synchronism_design.v

  * Engineer : Crazy Bingo

  * Target Device : EP2C8Q208C8

  * Tool versions : Quartus II 11.0

  * Create Date : 2011-6-25

  * Revision : v1.0

  * Description :

  *****************************************************/

  module synchronism_design

  (

  input clk,

  input rst_n,

  output sys_rst_n

  );

  //------------------------------------------

  //rst_n synchronism, is controlled by the input clk

  reg rst_nr1, rst_nr2;

  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA异步时钟域约束方法有几种常见的做法: 1. 异步复位:在异步时钟域中添加一个异步复位信号,用于保证系统在上电或者复位时的正确初始。复位信号需要经过适当的同步处理,以确保在时钟稳定后再生效。 2. 时序同步器(Synchronizer):在异步时钟域和同步时钟域之间插入一个时序同步器,用于将异步信号转换为同步信号。时序同步器包括两个触发器(Flip-Flop),一个触发器位于异步时钟域,另一个触发器位于同步时钟域。通过两个触发器的级联,可以确保异步信号在同步时钟域中得到稳定的采样。 3. 时序约束:使用时序约束(Timing Constraint)来限制异步时钟域中的逻辑路径。时序约束定义了信号在电路中传输的最大延迟和最小延迟,以及时钟与数据的关系等。通过正确设置时序约束,可以确保异步时钟域中的逻辑在同步时钟域的正确操作。 4. FIFO缓冲:在异步时钟域和同步时钟域之间添加一个FIFO缓冲区,用于缓解两个时钟之间的不匹配。FIFO缓冲区可以通过流水线技术实现,将异步时钟域中的数据按照同步时钟域的速率进行处理。 这些方法可以根据具体的设计需求选择使用,通常需要结合具体的FPGA开发工具和芯片手册来实施。同时,对于复杂的异步时钟域设计,还需要进行静态时序分析和时钟域交叉验证等工作,以确保设计的正确性和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值