SV学习--questasim--笔记

看绿皮书上的例子,边看需要边操作。方便学习与加深记忆

通过看csdn 上一个博主的博客,安装破解questasim 并且自己完成sv 代码的编写

使用工具:questasim (支持 .v   .sv  以及 .v 和.sv 项目的混合仿真)

准备条件:

软件安装包 questasim  

链接: https://pan.baidu.com/s/1H4TwIV1mWPYkOukd_U0y_A 提取码: frbc 

安装的路径必须为英文的,!!!!!!!!!!!!!!!!!!!!!

特别提醒

如果安装好了但是questasim 不能够用$display显示可以打印的内容,其原因在于 你的账户是中文的

解决办法:重新开一个英文账户,在这个账户下再安装,运行软件和代码,即可显示 display 可打印的内容。

windows 加开英文账户自行百度添加。

 

安装教程见转载博主链接。

一步一步没有问题的。

 

运行例子:

 

在已经安装好的questasim 上面运行其代码

编译   , 仿真 ,  run 100ns  

在  transcript  上面可以看见打印信息 

 

 

 

 

 

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Questasim是一款由Mentor Graphics公司提供的高度可定制化、适用于各种数字电路仿真的工具。下面我会介绍Questasim的搭建过程。 1. 确认系统要求 在搭建Questasim之前,请确保您的系统满足以下要求: - 操作系统:Windows、Linux或UNIX。 - 电脑处理器:Intel Pentium或AMD Athlon。 - 运行内存:至少1GB RAM,建议2GB以上。 - 磁盘空间:至少4GB空闲空间,建议8GB以上。 2. 下载Questasim 您可以在Mentor Graphics官网上下载Questasim。下载后,根据提示安装Questasim软件。 3. 添加环境变量 完成安装后,请将Questasim的安装路径添加到系统环境变量中,这可以让您在任何目录下都可以使用Questasim的命令。 4. 运行Questasim 打开终端或命令行窗口,输入以下命令来启动Questasim: vsim [options] [designfiles] 其中,designfiles参数是您要仿真的设计文件。 5. 创建仿真模型 为了在Questasim中仿真您的电路设计,您需要创建一个仿真模型。这个模型应该包含您的设计文件、仿真参数和仿真器设置等信息。 6. 运行仿真 完成仿真模型的设置后,您可以通过在Questasim中输入仿真命令来启动仿真。根据仿真模型中设置的仿真参数,您可以观察电路的运行情况,并进行调试和仿真结果的分析。 综上所述,搭建Questasim需要确认系统要求、下载软件、添加环境变量、创建仿真模型和运行仿真。在完成这些步骤后,您就可以轻松地使用Questasim对数字电路进行仿真了。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值