SV学习--questasim--笔记

看绿皮书上的例子,边看需要边操作。方便学习与加深记忆

通过看csdn 上一个博主的博客,安装破解questasim 并且自己完成sv 代码的编写

使用工具:questasim (支持 .v   .sv  以及 .v 和.sv 项目的混合仿真)

准备条件:

软件安装包 questasim  

链接: https://pan.baidu.com/s/1H4TwIV1mWPYkOukd_U0y_A 提取码: frbc 

安装的路径必须为英文的,!!!!!!!!!!!!!!!!!!!!!

特别提醒

如果安装好了但是questasim 不能够用$display显示可以打印的内容,其原因在于 你的账户是中文的

解决办法:重新开一个英文账户,在这个账户下再安装,运行软件和代码,即可显示 display 可打印的内容。

windows 加开英文账户自行百度添加。

 

安装教程见转载博主链接。

一步一步没有问题的。

 

运行例子:

 

在已经安装好的questasim 上面运行其代码

编译   , 仿真 ,  run 100ns  

在  transcript  上面可以看见打印信息 

 

 

 

 

 

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值