Verilog实现任意进制50%占空比时钟分频

程序实现:CLK_DIV_MODULE.v

module CLK_DIV_MODULE#(
parameter   CLK_DIV_NUM     =       4
)
(
    input       i_clk,
    input       i_rst,
    output      o_clk_div
    );
        
reg [$clog2(CLK_DIV_NUM) - 1 : 0]   r_cnt;         // 7 log2的7 clog2向上取整为3 位宽减一计 计数器:0-6计数
reg                                 r_clk_p;
reg                                 r_clk_n;
        
assign                              o_clk_div    =  CLK_DIV_NUM[0] == 1 ?  (r_clk_n  ||   r_clk_p) : r_clk_p;
//奇分频 :需要或逻辑  注意不能用翻转 因为不是偶频率 会造成占空比不是50%问题
//偶分频 :只取上升沿
always @(posedge i_clk or posedge i_rst)
if (i_rst)
    begin
        r_cnt <= 0;
    end
else if(r_cnt == CLK_DIV_NUM - 1)
    begin
        r_cnt <= 0;
    end
else
    begin
        r_cnt <= r_cnt + 1;
    end

always @(posedge i_clk or posedge i_rst)
if (i_rst)
    begin
    r_clk_p <= 0;
    end
else if(r_cnt == CLK_DIV_NUM - 1)
    r_clk_p <= 1;
else if(r_cnt == CLK_DIV_NUM / 2 - 1)
    r_clk_p <= 0;
else
    r_clk_p <= r_clk_p;

always @(negedge i_clk or posedge i_rst)
if (i_rst)
begin
        r_clk_n <= 0;
end
else if(r_cnt == 0)
    begin
        r_clk_n <= 1;
    end
else if(r_cnt == CLK_DIV_NUM / 2)
    begin
        r_clk_n <= 0;
    end
else
        r_clk_n <= r_clk_n;
endmodule

仿真代码
TB_CLK_DIV_MODULE.v

`timescale 1ns / 1ps

`define P_CLK_PERIOD 10 //100Mhz SYS_CLK
module TB_CLK_DIV_MODULE();


reg      r_clk;
reg      r_rst;
wire     w_clk_div;

CLK_DIV_MODULE CLK_DIV_MODULE_inst0
(
    .i_clk          (r_clk),
    .i_rst          (r_rst),
    .o_clk_div      (w_clk_div)
);

initial
begin
    r_rst = 1;
    #100;
    @(posedge r_clk) r_rst = 0;
end

initial
r_clk = 1;
always #(`P_CLK_PERIOD/2) r_clk = ~r_clk;

endmodule

时序图:七分频
在这里插入图片描述
仿真:
在这里插入图片描述

时序图:六分频
在这里插入图片描述
仿真:
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值