Spyglass手册目录

一 SpyGlass手册

  • explore_UserGuide.pdf 1144页
  • SpyGlass_Console_UserGuide.pdf 568页
  • SpyGlass_LibraryComiler_UserGuide.pdf 144页
  • CDC.pdf 68页
  • CDC_Rule.pdf 2318页
  • Constraints.pdf 74页
  • Constraints_Rules.pdf 1756页
  • DesinRead.pdf 58页
  • Lint_Rules.pdf 790页
  • TclShell.pdf 1684页

二 vc_spyglass常用操作

1.添加waiver.tcl文件
在左侧vcstActivityTree的violation 面板右键鼠标,在Waiver菜单下找到二级菜单 Add New Waiver File,创建一个。
或者在tcl中 用命令

manage_waiver_file -add waiver.tcl

把waiver.tcl文件加载到工程中,在刚才的二级菜单下,选择Select Default Waiver File -> waiver.tcl
如果不切换就是默认的vcst_rtdb/reports/waiver.tcl。
然后选择违例的message,右键或者在GUI下方VC_Static_InfoView窗口里选择Waive_Selected_Violations,就能在waiver.tcl中找到刚才的waive约束。
重新启动用restore_vcst。
查看已有的waive,在vcstActivityTree的Waivers 面板。

三 常见的cdc error

1.SETUP_RESET_UNDECL
异步的set/reset 没有被约束。有如下reason code:
UNDECLAERD:复位没有被约束,解决办法,用create_reset 创建约束
UNCONNECTED:没有连接
BLOCKED_BB:因为路径上有block box
sch打不开,提示有cmd 正在运行,其中1个原因可能cdc检查的脚本里混入了lint的命令,从tcl里删除它。
2.SETUP_BBOXPIN_UNCONSTRAINED
block-box的管脚没有约束或者部分约束。确认连接关系,没问题waive了就行了。
3.CDC_UNSYNC_ASYNCRESET
复位信号没有经过目的时钟域的同步。可能是以下三种情况:

  • 目的cell的复位管脚被异步的时钟驱动。
  • 复位不是输入端口,也不是cell输出的复位,或者是开发者自定义的异步复位
  • 工具没有自动推断出同步逻辑。

4.CDC_UNSYNC_NOSCHEME
数据没有经过同步处理,只有一拍直接采样。
5.CDC_COHERENCY_MULTI_SYNC
同一个信号,有多个同步路径。大多数分散在多个模块。一般来说是没有什么影响的。
6.CDC_COHERENCY_RECONV_SEQ
聚合。1个异步信号多点穿越后又聚合在一起,至少有一条同步路径上还有reg打拍。
7.CDC_COHERENCY_RECONV_COMB
聚合。1个信号多点穿越后又聚合在一起,并且同步后所有路径上都没有reg。
8.CDC_CONHRENCY_ASYNCSRCS_RECONV_SEQ
不同的信号 同步后聚合,至少有 一条同步路径上还有reg打拍。
9.CDC_COHERENCY_BUS_NOCONV
多bit数据跨越没有使用格雷码。

四 常见的rdc error

rdc就是reset domain crossing
1.SETUP_RESET_INFERRED_SOFT
内部产生的复位信号,要么create_reset处理,要么就waive。确保符合设计者意图。
2.RDC_CORRUPT_OBSERVED
2个顺序打拍的FF,有2个不同的异步复位信号。并且没有定义有效的blocking scheme。 如果前一个寄存器被复位,后一个FF没有被复位,后面这个寄存器就有可能采到1个亚稳态。要么改代码,要么加约束,先复位后面的reset,help view上有,
set_rdc_define_assertion_sequence -from rst1 -to rst2
3.RDC_CLOCK_CORRUPT_OBSERVED
如果异步复位造成了时钟不稳定,比如说异步复位的FF输出Q是ICG的en信号,那么异步复位的时机很可能导致en有毛刺,导致ICG输出是亚稳态,进而导致所有后级的时钟网络不稳定。

五 vc spyglass install

安装好后提示缺feature,需要添加以下:
VC-LINT-BASE
VC-CDC-BASE
VC-RDC-BASE
VC-Verdi-Plugin
rdc_adv_checker
cdc_adv_checker
VC-STATIC-VERDI-PLUGIN

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 14
    评论
Spyglass 2018是一款先进的用户手册,为用户提供了使用Spyglass软件的全面指导和帮助。以下是对该用户手册的描述: Spyglass 2018用户手册是一本详细的使用指南,旨在帮助用户熟悉和使用Spyglass软件。该手册涵盖了软件的所有功能和工具,并提供了逐步的说明和操作指导,以确保用户能够充分了解并利用软件的强大功能。 首先,用户手册提供了关于Spyglass软件的简介,包括其应用领域和主要特点。用户可以了解到该软件的用途,以及如何在不同的领域中使用它来满足各种需求。 其次,用户手册提供了详细的软件安装和配置说明。用户可以根据手册的步骤,轻松地安装和设置软件,以便开始使用。 用户手册的主要部分是分步指南,介绍了Spyglass软件的每个功能和工具。这些指南以简明的语言说明了每个功能的用途和操作方法。用户可以跟随手册上的指导,逐步学习和了解如何使用这些功能来进行数据分析、模拟和可视化等任务。 此外,用户手册还包含了一些常见问题和疑难解答,以帮助用户在使用软件时遇到问题时解决。这些问题涵盖了各个方面的软件使用,从基本的操作到高级功能,用户可以根据手册中的指引快速解决问题。 总体而言,Spyglass 2018用户手册是一本全面而易于理解的指南,为用户提供了使用该软件的详细说明和操作指导。无论是初学者还是有经验的用户,都可以从中获得有益的信息和帮助,以便更好地利用Spyglass软件。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 14
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值