自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(426)
  • 资源 (12)
  • 收藏
  • 关注

原创 什么是IO Pad?

IO pad是一个芯片管脚处理模块,即可以将芯片管脚的信号经过处理送给芯片内部,又可以将芯片内部输出的信号经过处理送到芯片管脚。输入信号处理包含时钟信号,复位信号等,输出信号包含观察时钟、中断等。此类IO引脚最为常见,包含:中断输出信号、状态观察输出信号(PCIE link信号、led状态、部分时钟)、调试debug信号。芯片管脚信号作为输入进入IO PAD模块,驱动输出信号送给芯片内部逻辑。芯片内部逻辑的输出信号作为IO的输入,驱动输出信号送给芯片管脚。输入差分时钟信号,复位信号,正常数据信号、

2023-06-20 15:10:45 2855 1

原创 系统加速比(amdahl定律)

系统加速比

2023-02-27 10:09:35 1361 1

原创 断言语法disable iff

如果disable iff (~rst_ni) (full_o | -> ~push_i)成立,则不启动assert。

2023-02-15 15:37:02 940

原创 Linux安装rpm文件,Header V4 DSA header v4 dsa/sha1 signature

解决办法:在rpm 语句后面加上 --force --nodeps就可以了。命令:sudo rpm -ivh。情况:安装谷歌浏览器。

2022-11-26 10:14:42 771

原创 systemVerilog中casez和casex语句

8’bx0x0x0x0异或8’b01100110=8’bx1x0_x1x0,与statement2可以对应。当field=8’b01100110时,casex选择分支statement2执行。

2022-11-15 16:12:25 455

原创 systemVerilog过程语句:for循环语句控制/跳转 continue break return

仿真命令:vcs -R -sverilog continue_loop.sv当i的值在(i>=5)&&(i=5)&&(i

2022-11-15 15:49:48 2462 1

原创 systemVerilog的变量类型转换

if(unsigned’(a-b)

2022-11-15 15:18:35 2002

原创 反相器动态功耗计算和短路电流

反相器动态功耗计算

2022-11-14 21:21:56 892

原创 反相器下降沿延迟时间计算

反相器结构。

2022-11-14 20:57:32 1342

原创 四值逻辑二值逻辑计算

【代码】四值逻辑二值逻辑计算。

2022-11-14 20:41:01 277

原创 vcs简单语法调试,简单仿真,无makefile

命令:vcs -R -sverilog bit_logic_operator.sv。//8bit 有符号整数。//8bit无符号整数。byte 有符号8位数,取反+1,存入的,反推回去是-1。文件名称:bit_logic_operator.sv。说明:多个.sv文件,在后面直接加就行。

2022-11-14 17:00:15 333

原创 systemVerilog验证中的program块

systemVerilog验证中的program模块

2022-11-14 12:35:16 287

原创 验证systemVerilog中激励的时序(clocking)

驱动过晚或采样过早,都会引起竞争现象。在建立之前,稳定的驱动信号,可以避免竞争现象。input skew表示在时钟有效边沿采样信号的扭斜单位时间 output skew表示在时钟有效边沿驱动信号的扭斜单位时间。

2022-11-14 10:59:03 2316

原创 小牛电动车09Z和10Z的区别

首先这是我M+行驶证的照片在品牌型号这一栏可以看见后三位为10Z,且行驶证上的车架号与APP车架号一至,且对应车上的车架号,电机号一致,车辆说明书上的SN码与APP上一致 这就是北京牛友里很多人所说的完全可以合法上路的M+四码合一非套牌车,完全不怕被JC查(就算打开警务通核对)也可以通过JC查验。

2022-11-05 23:45:57 467

原创 arduino ssd1306屏幕打印信息(已测可用)

【代码】arduino ssd1306屏幕打印信息。

2022-10-27 09:40:35 448

原创 C语言中的 |= 意思

按位或的结果为:0x03 等同于0011。C语言中的 |= 意思为:按位或后赋值。

2022-10-26 11:36:35 7623

原创 arduino的pinMode()函数

在输入上拉(INPUT_PULLUP)模式中,Arduino将开启引脚的内部上拉电阻,实现上拉输入功能。注意:当Arduino引脚设置为输入(INPUT)模式或者输入上拉(INPUT_PULLUP)模式,请勿将该引脚与负压或者高于5V的电压相连,否则可能会损坏Arduino控制器。注意:当Arduino引脚设置为输入(INPUT)模式或者输入上拉(INPUT_PULLUP)模式,请勿将该引脚与负压或者高于5V的电压相连,否则可能会损坏Arduino控制器。Arduino 微控制器自带内部上拉电阻。

2022-10-25 14:57:17 6958

原创 编写arduino独立工程,不使用原库支持

当创建一个空的工程,先按下ctrl+s保存一下。这个时候弹出对话框,命名工程。假如命名为LED,并保存在我自己的Arduino工作目录下。于是IDE会自动帮我们在Prj_Arduino下创建1个文件夹,并将sketch主文件放在里面,而且主文件和文件夹同名。

2022-10-24 19:41:24 2757

原创 SSD1306 OLED驱动芯片 详细介绍(使用I2C)

SSD1306 OLED驱动芯片 详细介绍(使用I2C)

2022-10-24 18:11:00 14254 1

原创 I2C协议

I²C(Inter-Integrated Circuit),中文应该叫集成电路总线,它是一种,使用多主从架构,是由飞利浦公司在1980年代初设计的,方便了主板、嵌入式系统或手机与周边设备组件之间的通讯。由于其简单性,它被广泛用于微控制器与传感器阵列,显示器,IoT设备,EEPROM等之间的通信。以上是I²C的一些重要特点,下面会进一步对I²C进行介绍。

2022-10-24 11:33:38 340

原创 Arduino跑通ssd1306_spi显示屏

代码首先,您需要下载两个库:● Adafruit GFX库,这是核心图形库,提供了一组通用的图形基元(点、线、圆等)。它需要与特定于硬件的库对应。● Adafruit SSD-1306 OLED显示库,这是基于SSD1306驱动程序的OLED显示器的库。解压缩这两个库并将它们添加到Arduino库文件夹中,然后运行Arduino IDE并从OLED库中打开SPI示例。

2022-10-24 09:29:50 932

原创 SPI协议

综上几种情况,下图总结了所有时钟配置组合,并突出显示了实际采样数据的时刻;其中黑色线为采样数据的时刻;蓝色线为SCK时钟信号;

2022-10-22 11:27:58 1612

原创 uart数据传输特性

因为UART没有时钟信号,无法控制何时发送数据,也无法保证双发按照完全相同的速度接收数据。因此,双方以不同的速度进行数据接收和发送,就会出现问题。异步串行工作得很好,但是在每个字节发送的时候都需要额外的起始位和停止位以及在发送和接收数据所需的复杂硬件方面都有很多开销。如果要解决这个问题,UART为每个字节添加额外的起始位和停止位,以帮助接收器在数据到达时进行同步;相应的协议如下图所示;不难发现,如果接收端和发送端设置的速度都不一致,那么接收到的数据将是垃圾(乱码)。

2022-10-22 10:35:10 327

原创 Win10安装Arduino IDE

网站:https://www.arduino.cc/en/software。选择办卡:Arduino/Genuino Uno(对应开发板型号)打开控制面板 -> 设备管理器 -> 端口(快捷键:win+x)串口驱动安装,可能是自动安装的,打开以后就是下面这样。测试:随便导入一个程序:Blink。板子上的A13的LED在闪烁。

2022-10-22 10:18:55 1221

原创 systemVerilog的interface(接口)介绍

systemVerilog的接口使用例子

2022-10-20 21:23:04 755

原创 sv验证环境-分层验证平台

对DUV接口信号进行赋值。

2022-10-20 20:37:44 587

原创 Makefile中的%和*

举例,%.o表示匹配所有的.o文件,注意是用于匹配的。Makefile中的%表示通配符,而*表示任意字符。*.o是表示所有的.o文件。

2022-10-20 17:27:39 1654

原创 报告里面生成git commit id信息

便可以将commit id 打印到./report/batch.log里面了。若是包括多个子模块,进入子模块后输入。命令,即可得到commit id。

2022-10-20 16:55:10 486

原创 Python中import的as语法

在Python中,如果import的语句比较长,导致后续引用不方便,可以使用as语法,比如:import dir1.dir2.moddir1.dir2.mod.X那么,为了简化输入,可以使用as语法:import dir1.dir2.mod as mm. Ximport mod as mm.X # OKmod.X # Error下面提供as的完整语法格式,import和from都支持:import modulename as name # 只能通过name来引用from modulename im

2022-10-20 16:14:14 6590

原创 Linux/Makefile 中 mkdir -p 作用

如,创建A目录下的B目录时 正常是使用mkdir A ,然后cd A, mkdir B。如果使用mkdir -p时,可以直接输入,mkdir -p A/B。mkdir -p参数是能直接创建一个不存在的目录下的子目录。

2022-10-20 15:18:38 3280

原创 Makefile调试中信息打印

打印信息:/home/xxx/prj/cx/socip。命令:make display。Makefile文件中。

2022-10-20 15:03:36 385

原创 数字IC设计全流程

当RTL Coding满足市场需求,进入后面流程。

2022-10-20 11:04:09 351 1

原创 数字IC设计具体指标

数字ic设计具体指标

2022-10-20 10:26:45 398

原创 验证计划文档模板

芯片验证计划模板

2022-10-19 22:54:32 517

原创 `timescale理解

timescale命令是用来说明该命令后的模块的时间单位和时间精度。

2022-10-19 17:06:40 412

原创 systemVerilog语法测试(小东西,很好用)

测试数组赋值情况。

2022-10-19 16:37:54 293

原创 32位加法器覆盖率分析(完整工程)

仿真工具:VCS。

2022-10-19 15:40:32 204

原创 Moore型状态机和Mealy状态机

所以绘制状态转移图需要知道以下三个要素:(1)输入:根据输入可以确定是否需要进行状态转移以及输出,是影响状态机系统执行过程的重要驱动力。(2):根据当前时刻的状态以及输出,是。(3)状态:根据输入和上一个状态决定当前时刻所处的状态,是状态机系统执行的一个稳定过。根据这些抽象出的要素我们就可以绘制状态转移图了,首先我们根据分析的状态数先画出5个状态,如下图所示,每个状态我们取一个状态名(题目中有要求用S0, S1, S2, …标识):接收的到值为0的状态我们取名为S0,该状态也成为初始状态;

2022-10-19 09:29:52 2378

原创 One-hot编码,状态机使用

上图为普通的状态机编码方式。当芯片受到辐射或者其他干扰时,可能会造成状态机跳转失常,甚至跳转到无效的编码状态而出现死机。如:状态机因异常跳转到某状态,而此状态需要等待输入,并作出应答,此时因为状态运转不正常,不会出现输入,状态机就会进入死等状态。

2022-10-18 22:00:33 791

原创 LFSR(线性反馈移位寄存器, Linear-feedback shift register

移位寄存器(Shift Register,SR)曾在SM4中提到过,是指有若干个寄存器排成一行,每个寄存器中都存储着一个二进制数(0或1)。移位寄存器每次把最右端(末端)的数字输出,然后整体向右移动一位。

2022-10-18 21:29:12 966

systemVerilog的联合数组例子

systemVerilog的联合数组例子

2022-11-15

systemVerilog中队列的例子

systemVerilog中队列的例子

2022-11-15

systemVerilog的动态数组例子

systemVerilog的动态数组例子

2022-11-15

systemVerilog的modeport使用代码

systemVerilog的modeport使用代码

2022-11-14

2022年一生一芯p1视频课程ppt

2022年一生一芯p1视频课程ppt

2022-09-11

北京市工程技术系列(人工智能)专业技术资格评价试行办法

北京市工程技术系列(人工智能)专业技术资格评价试行办法

2022-01-12

CMOS电压调整器的设计CMOS电压调整器的设计

CMOS电压调整器的设计CMOS电压调整器的设计CMOS电压调整器的设计CMOS电压调整器的设计CMOS电压调整器的设计CMOS电压调整器的设计

2011-05-13

二手交易系统平台二手交易系统平台

二手交易系统平台二手交易系统平台二手交易系统平台二手交易系统平台二手交易系统平台二手交易系统平台二手交易系统平台二手交易系统平台

2011-05-13

二手商品交易网站-设计论文

二手商品交易网站-设计论文二手商品交易网站-设计论文二手商品交易网站-设计论文二手商品交易网站-设计论文

2011-05-13

基于ASP.NET的网上二手交易平台的设计

基于ASP.NET的网上二手交易平台的设计基于ASP.NET的网上二手交易平台的设计基于ASP.NET的网上二手交易平台的设计基于ASP.NET的网上二手交易平台的设计

2011-05-13

基于Web的校园网二手商品交易系统的设计与实现论文1

基于Web的校园网二手商品交易系统的设计与实现论文1基于Web的校园网二手商品交易系统的设计与实现论文1

2011-05-13

校园二手电子产品交易网

校园二手电子产品交易网校园二手电子产品交易网校园二手电子产品交易网校园二手电子产品交易网

2011-05-13

校园二手物品流通平台的设计

校园二手物品流通平台的设计校园二手物品流通平台的设计校园二手物品流通平台的设计校园二手物品流通平台的设计校园二手物品流通平台的设计

2011-05-13

校园物品交易平台的设计

校园物品交易平台的设计校园物品交易平台的设计校园物品交易平台的设计校园物品交易平台的设计

2011-05-13

易宝二手商品电子商务系统设计与实现

易宝二手商品电子商务系统设计与实现易宝二手商品电子商务系统设计与实现易宝二手商品电子商务系统设计与实现易宝二手商品电子商务系统设计与实现

2011-05-13

长沙市二手房交易及资金监管系统的设计与实现

长沙市二手房交易及资金监管系统的设计与实现长沙市二手房交易及资金监管系统的设计与实现长沙市二手房交易及资金监管系统的设计与实现长沙市二手房交易及资金监管系统的设计与实现

2011-05-13

2.4V电荷泵升压型半导体LED照明光源驱动芯片的设计

2.4V电荷泵升压型半导体LED照明光源驱动芯片的设计 (研究生毕业论文)

2011-05-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除