HDL的四种建模方式

FPGA设计中,有四种HDL的建模方式,主要有结构化描述方式、数据流描述方式描述方式和混合设计描述:

  • 结构化描述方式结构化的建模方式就是通过对电结构的描述来建模,即通过对器件的用(HDL概念例化),并使用线网连接各器件的描述方式。里的器件包括Verilog HDL的内置门器件如与and,异或门xor等,也可以是用的一个设计结构化的描述方式反映了一个设计关系

  • 数据流描述方式数据流的建模方式就是通过对数据流在设计中的具体行为的描述的来建模。最基本的机制就是用连续的赋值语句。在连续的赋值语句中,某个值被赋值给某个线网变量(信号),语法如:assign [delay]  net_name  =  expression; (assign #2 A = B;)。在数据流描述方式中,还必须借助于HDL提供的一些运算符,如按位逻辑运算符:逻辑与&),逻辑或(|)等。

  • 描述方式方式的建模是指采用对信号行为级的描述(不是结构级的描述)的方法来建模。在表示方面,类似数据流的建模方式,但一般是把用initial块语句或always块语句描述的归为行为建模方式。行建模方式通常需要借助一些行为级的运算符如加法运算符(+),减法运算符(-)等。

  • 混合设计描述在实际的设计中,往往是多种设计模型的混合。一般地,对顶层设计,采用结构描述方式,对低层模块,可采用数据流、行为级或两者的结合

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值