Vsim选项整理、questasim自动化仿真控制文件书写

一、Vsim选项整理

1、vsim指令载入一个设计到仿真器,语句格式: 

Vsim [option] +TESTNAME=[测试名]  [逻辑库.tb文件] 

 2、常用选项:

# 常用
-c # 使vsim运行在命令行模式,不启动图形界面,节省时间
-novopt # 不采用vopt优化,常和+acc配合使用
-l <logfile> # 输出仿真的log文件
-do <dofile> # 开始仿真后运行tcl脚本(控制文件)
-L # 加载所需要的仿真库文件
-sv_seed 0 随机种子

# 其它
-t # <ps or ns> 定义仿真时间精度
-g|G<name=value> # 设置VHDL的generic参数值
-quiet # 关闭loading的信息
-coverage # 收集覆盖率信息 
-classdebug 更多类调试功能
-solvefaileddebug 随机化失败更多信息

3、例子

vsim -c -l vsim.log -do ./DemoDo.do -L ./work work.foo # 命令行模式,输出日志到vsim.log,开始仿真后运行DemoDo.do脚本文件,指定逻辑库work,work.foo是仿真的top level module

 4、vsim之外的其他questa常用指令

vlog # 编译
vlib # 建立一个新的工作库/逻辑库
vmap # 映射逻辑库名到制定的目录
add wave # 将信号加入波形
run # 执行仿真(默认执行100ns,-all 执行到结束)
quit # 退出仿真

二、questasim自动化仿真do文件书写

set QUESTAPATH /home/su/questasim # 设置路径变量
vlib example/work0326 # 在工作目录下创建新库目录(工作目录/home/su/questasim,生成的新库目录下包括自动生成的_info文件)
vmap work0326 ./work0326 # 将新库目录的物理地址(/home/su/questasim/example/work0326)映射到逻辑库地址(即工作目录/home/su/questasim)。逻辑库名与物理库名最好一致。
vlog -work ./work0326 $QUESTAPATH/*.v # 编译目录下所有.v文件到新库中
vsim -L ./work0326 -voptargs=+acc work0326.tb0326 # 启动仿真器,全可见性优化
add wave tb0326/* # 启动波形,添加tb文件中的所有信号
run -all # 执行仿真到结束

三、常用指令及选项

# add wave
add wave -dec sim:/tb0326/* # 添加一个模块所有信号
add wave -unsign sim:/tb0326/clk # *的位置换为具体信号

参考文献:modelsim脚本仿真设计(一)仿真源代码 https://blog.csdn.net/weixin_43649647/article/details/109689997

参考文献:Modelsim do文件和批处理文件的使用 https://blog.csdn.net/qq_27745395/article/details/76449320

参考文献:modelsim自动化仿真之do文件 http://www.360doc.com/content/17/1229/17/44378643_717453179.shtml

参考文献:Modelsim自动化仿真之do文件书写  https://my.oschina.net/u/4330588/blog/3841564

参考文献:modelsim常用tcl命令 https://wenku.baidu.com/view/a894e8d46137ee06eff918a8.html

参考文献:modelsim TCL脚本常用命令 http://www.voidcn.com/article/p-bwkzdnzj-bma.html

参考文献:questasim 下的仿真(vivado) https://blog.csdn.net/zlxdaisy/article/details/97765243

参考文献:modelsim使用命令 https://wenku.baidu.com/view/75f71e1e10a6f524ccbf8526.html

四、学习用课件
第6章 FPGA仿真工具推荐.ppt https://max.book118.com/html/2018/0429/163686302.shtm

五、windows下采用do文件运行仿真

# vdel -lib work0328 -all
vlib work0328 
vmap work work0328  
vlog  ./*.v 
vsim -voptargs=+acc work0328.tb0328 
add wave tb0328/* 
run -all 

  • 10
    点赞
  • 124
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
搭建ModelSim的sim_model自动化仿真环境需要以下步骤: 1. 编写仿真脚本 在ModelSim中,可以使用Tcl脚本编写仿真脚本。仿真脚本可以自动化执行各种仿真任务,例如编译、仿真、波形查看等。仿真脚本的文件扩展名通常为.do。下面是一个简单的例子: ```tcl # 编译源文件 vlog -work work ./src/*.v # 运行仿真 vsim -c -do "do simulation.do; run -all" top_module # 退出ModelSim quit -sim ``` 在这个例子中,我们首先使用`vlog`命令编译所有的Verilog源文件。然后,使用`vsim`命令运行仿真,并通过`-do`选项指定执行一个名为"simulation.do"的仿真脚本。最后,使用`quit`命令退出ModelSim仿真环境。 2. 创建sim_model工程 在ModelSim中创建sim_model工程的步骤如下: - 打开ModelSim软件 - 点击File -> New -> Project,弹出New Project对话框 - 在对话框中输入工程名称和文件夹路径,选择工程类型为"VHDL/Verilog",然后点击OK - 在弹出的对话框中选择要仿真的源文件,然后点击OK 3. 添加仿真脚本 在sim_model工程中添加仿真脚本的步骤如下: - 在ModelSim软件中打开sim_model工程 - 点击Simulate -> Start Simulation,弹出Transcript窗口 - 在Transcript窗口中输入`do simulation.do`命令执行仿真脚本 4. 执行仿真 在ModelSim中执行仿真的步骤如下: - 在Transcript窗口中输入`run -all`命令运行仿真 - 在Wave窗口中查看仿真波形 总的来说,搭建ModelSim的sim_model自动化仿真环境需要编写仿真脚本、创建sim_model工程、添加仿真脚本和执行仿真等步骤。需要注意的是,在实际应用中,还需要根据具体的仿真需求编写和调试仿真脚本。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值