Verilog保留字列表

保留字说明
always语句重复执行
and逻辑与实例
assign过程化持续赋值
automatic递归调用修饰词
begin顺序执行语句组开始
buf缓冲器
bufif0低电平是能缓冲器
bufif1高电平是能缓冲器
case分支语句
casex分支语句
casez分支语句
cell
cmos门级原语
config配置
deassign停止过程化语句赋值
default分支语句其他选项
defparam重写参数值
design配置
disable中止运行
edge边沿
else条件语句
endbegin语句结束
endcase分支语句结束
endconfig配置结束
endfunction函数结束
endgenerate生成结束
endmodule模块结束
endprimitive原语结束
endspecify
endtable真值表结束
endtask任务结束
event事件
forfor循环
force过程化持续赋值
forever永久执行
fork同步执行语句
function函数
generate生成
genvargenerate循环
highz0高阻0
highz1高阻1
ifif语句
ifnonespecify语句
initial初始化
inout双向
input输入
instance实例
integer整型
joinfork-join语句
large强度
liblist库列表
localparam本地参数
macromodule模块
medium强度
module模块
nand逻辑与非
negedge下降沿
nmos门级MOS
nor逻辑或非
noshowcancelledspecify语句
not逻辑非
notif0低电平使能非门
notif1高电平使能非门
or逻辑或
output输出
parameter参数
pmosMOS原语
posedge上升沿
primitive原语
pull0强度
pull1强度
pulldown强度
pullup强度
pulsestyle_ondetectspecify语句
pulsestyle_oneventspecify语句
rcmosMOS原语
real实数
realtime实数
reg变量
releaseforce release
repeat重复
rnmos门级MOS
rpmos门级MOS
rtran门级双向通道
rtranif0门级双向通道
rtranif1门级双向通道
scalared类型修饰符
showcancelledspecify语句
signed类型修饰符
small强度
specify
specparam指定参数
strong0强度
strong1强度
supply0强度
supply1强度
table真值表
task任务
time时间类型
tran门级双向通道
tranif0门级双向通道带控制
tranif1门级双向通道带控制
tri网络数据类型
tri0网络数据类型
tri1网络数据类型
triand网络数据类型
trior网络数据类型
trireg网络数据类型
use配置语句
vectored网络数据类型修饰符
wait等待
wand网络数据类型
weak0强度
weak1强度
whilewhile循环
wire网络数据类型
wor网络数据类型
xnor逻辑或非
xor逻辑或

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值