Fsm serial(采用计数器的方法)

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output done
); 
    reg [3:0] cnt;
    parameter IDLE=0,START=1,STOP=2,WAIT=3;
    reg [1:0] state,next;
    always@(posedge clk)
        if(reset)
            state<=IDLE;
    else 
        state <= next;
    always@(*)begin
        next = 'bx;
        case(state)
            IDLE:next=in?IDLE:START;
            START:next=(cnt<'d8)?START:
                			in?STOP:WAIT;
            WAIT:next = in?IDLE:WAIT;
            STOP:next = in?IDLE:START;
        endcase
    end
    always@(posedge clk)
        if(reset) cnt <= 1'b0;
    else cnt <= (state==START)?(cnt+1'b1):1'b0;
    assign done = (state == STOP);
endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值