#systemverilog# 探讨关于 loop 循环结构和内置循环变量i

本文探讨了SystemVerilog中loop循环结构与内置变量i的使用,包括其在数组操作和线程发起中的特殊行为。通过一系列例子,解释了在循环中使用fork...join_none发起线程时,如何正确理解和处理内置变量i,强调了阻塞赋值语句在并发执行中的重要性。
摘要由CSDN通过智能技术生成

无规矩不方圆

在Verilog 中,我们知道的循环结构有:for、while 、repeat 、forever 。SV则增强了for 循环结构,并且增加了 do ... while 和 foreach 结构。

 

 以下两种方式,等价。

         =      

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值