后仿真中《SDF反标必懂连载篇》之 SDF 预编译选项

背景

如果我们的设计代码进行了修改,但是sdf文件并没有修改,此时如果编译完后,在析构的时候还要再进行一次sdf文件的解析反标,将会比较耗费时间,为此,一般的EDA工具都提供了另外一种反标方式,即对sdf文件进行预编译,如果sdf文件并没有修改,仅设计文件进行修改,此时就可以采用sdf预编译方式,具体操作步骤如下示例。

【示例】

ca8deb88-730c-11ee-939d-92fbcf53809c.png

【反标信息一】在系统任务$sdf_annotate中添加要使用的已经预编译的反标文件

ca97066e-730c-11ee-939d-92fbcf53809c.png

【反标信息二】在析构命令中加入已经预编译的反标文件,大家可以仔细观察下两者差异。

ca9f5878-730c-11ee-939d-92fbcf53809c.png

另外,在VCSMX中,还可以指定sdf预编译生成文件的保存路径,指定方式如下:

cab52072-730c-11ee-939d-92fbcf53809c.png

感谢大佬知识分享~~~

致敬! 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在VCS,可以使用SDF(Standard Delay Format)文件来指定电路的时序信息,以便进行时序仿真反标sdfannotate)则是VCS的一个命令,用于将SDF文件的时序信息应用到仿真。具体来说,可以通过以下步骤实现VCS SDF反标: 1. 首先,在VCS的工作目录创建一个SDF文件,例如“ring_oscillator.sdf” 。 2. 在代码使用$sdf_annotate命令来调用SDF文件。例如,可以在initial begin块使用以下代码来调用SDF文件的时序信息:$sdf_annotate("ring_oscillator.sdf", ring_oscillator); 。 3. 然后,使用VCS编译和运行仿真,以使SDF文件的时序信息生效。 4. 在仿真过程,VCS将根据SDF文件的时序信息来模拟电路的时序行为,以确保仿真结果准确。 通过使用SDF文件和$sdf_annotate命令,可以在VCS进行准确的时序仿真,以验证电路的时序行为。这能够帮助设计人员检查和优化电路的时序特性,确保电路在实际应用能够正常工作。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [VCS门级仿真系列文章之sdf文件和$sdf_annotate反标](https://blog.csdn.net/m0_49540263/article/details/114881858)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值