vivado2017.4 与modelsimSE-64 10.4联合仿真

为什么要使用modelsim进行仿真,而不是使用vivado自带的仿真工具?

1、vivado自带仿真:适用于代码量比较小,几千行的场景。

 2、modelsim仿真:适用于高速接口之类的仿真,比如DDR3接口,modelsim可以加快仿真的速度。

另外附上一些网友的评价,哈哈

一、如何联合仿真


Vivado与 Modelsim 联合仿真一般有两种方法:

第一种方法是将 Modelsim与 Vivado 关联起来,在 Vivado 运行仿真的时候,弹出 Modelsim 工具。
第二种方法是 Modelsim 独立仿真 Xilinx 的 IP 核。

注意 Vivado 和 Modesim 的版本是否兼容。

https://www.xilinx.com/support/answers/68324.html,这个链接可以査看 Vivado版本对应匹配的 Modelsim 版本。
本次使用 Vivado 2017.4和 modelsimSE-64 10.4 。

2、关联步骤(本次讲解第一种方法)

 
①Vivado 生成编译库(Compiled library)。


新建立一个文件夹,命名为“vivado library”,用来存放编译库(Compiledlibrary),编译库只需要生成一次即可,后续仿真不需要多次生成

点击Family选项的三个点,选择常用的器件(选的器件类型多的话编译时间会变长)

这里选择我我们实验室的常用器件A7、K7、Z7系列。

选择编译库存放的文件夹,自己在任意位置新建一个文件夹即可。这里我选择是vivado的安装目录创建一个名为vivado_library的文件夹。

在刚刚的页面选择刚刚创建的文件路径,并且选择安装的modelsim win64文件路径。

下面有怎么找到win64目录的方法。

选完两个路径,然后点compile。进行编译。编译的时间可能会比较长。

好了,编译完了,那下一步就是在vivado软件里设置关联了。

选择编译库的位置

点击3rd Party Simmulators .再次输出modelsim的安装路径以及刚刚创建的编译库路径。

然后点击ok

点击vivado的仿真选项

现在就可以看到modelsim成功的跳出来了。

完美撒花✿✿ヽ(°▽°)ノ✿

谢谢观看!!

  • 9
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
要在Vivado 2019.2中联合ModelSim进行仿真,你可以按照以下步骤进行操作: 1. 打开Vivado软件,并打开你需要进行仿真的工程。 2. 在Vivado界面的顶部菜单栏中,点击"Tools",然后选择"Settings"。 3. 在弹出的对话框中,选择"Simulation"选项卡。 4. 在"Simulation Language"下拉菜单中,选择"ModelSim"作为你的仿真工具。 5. 在"Simulation Top"框中,指定你的顶层文件。这是你设计的主要模块或顶层实体。 6. 如果你之前已经编译了库文件,可以在"Compiled Library"框中指定库文件的路径。 7. 点击"Apply"按钮应用设置,然后点击"OK"按钮保存设置。 8. 现在你可以在Vivado中使用ModelSim进行仿真了。你可以在仿真窗口中点击"SIMULATION",然后选择"Run Behavioral Simulation"来启动仿真。 请注意,这些步骤是基于Vivado 2019.2版本的操作,如果你使用的是其他版本,请参考相应版本的用户手册或文档进行操作。引用提供了关于VivadoModelSim联合仿真的简单介绍,如果你需要更多帮助,可以参考该文档。引用也提到了使用ModelSim进行仿真的优势和流程。123 #### 引用[.reference_title] - *1* *3* [Vivado2020.2 联合 Modelsim2019.2进行仿真](https://blog.csdn.net/jh323410/article/details/120340318)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}} ] [.reference_item] - *2* [Vivado关联Modelsim进行仿真](https://blog.csdn.net/weixin_42837669/article/details/107829499)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值