关于fpga实现有符号的加减乘

本文记录了在Quartus 13.0环境下使用Verilog实现有符号数加减乘的测试过程。通过添加signed修饰符,实现了自动扩展符号位的功能,并通过FPGA(Altera C4系列)验证了乘法运算的正确性。测试结果显示,signed关键字在计算时能正确处理有符号数的运算,避免手动扩展符号位。
摘要由CSDN通过智能技术生成

摘要:测试环境:quartus 13.0,在多数情况下,我们需要通过扩展符号位来实现有符号数的+ - *,但是verilog 的语法中有关于有符号的修饰符:signed,对比,笔者做了简单的测试,现将过程记录如下:

这里我测试了乘法,代码如下:

 

module mul_test(
input                clk    ,
input                sw0    ,
output reg  signed [2:0]        a  ,
output reg  signed [2:0]        b    ,
output reg signed [5:0
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值