每天学习一个FPGA小技巧 1

该博客介绍了如何在Matlab中将数据写入txt文件,然后在Verilog代码中读取这些数据。通过使用Matlab的fprintf函数将图像数据以16进制格式保存到txt文档,随后在Verilog中利用$readmemh函数读取这些16进制数据,实现两者之间的数据交换。这种方法特别适用于在硬件描述语言中加载初始配置数据。
摘要由CSDN通过智能技术生成

编写激励文件时,常常需要把数据加载进来。
可以使用matlab把数据print到txt文档,再调用。

解决办法:
1、matlab打印数据到txt。

fid = openf('e:\img_col.txt','w');
for i = 1:row   						 		%根据图像高度
	fprintf(fid,'%x\n',img(i,printf_col));	    %指定要打印的列图像数据(printf_col某一列)
end
fclose(fid);

2、verilog调用。

只能读取16进制或2进制(没有十进制)
$readmemh(); //读取16进制
$readmemb(); //读取二进制

reg [msb:0] readbuf [max:min];
$readmemh(('e:\img_col.txt',read_buf,min,max); //文件,存储buf,起始地址,终止地址
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值