Modelsim SE-64 10.4建立UVM环境

Modelsim建立UVM环境

Modelsim SE-64 10.4 中建立UVM环境,使用的UVM是UVM1.1d

如果安装的是Modelsim SE-64 10.4版本的话,UVM的库已经给编译好了,生成了.dll 文件,共UVM验证使用

  1. 在modelsim的安装目录下的D:\modeltech64_10.4\uvm-1.1d下,就有一个uvm_dpi.dll
    这里写图片描述

  2. 以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件
    这里写图片描述

    Hello_world.sv: 验证的代码
    Run.bat: windows运行的脚本
    Sim.do

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值