linux系统上实现vivado调用VCS仿真教程

在linux系统上实现vivado调用VCS仿真教程 
作用:vivado调用VCS仿真可以加快工程的仿真和调试,提高效率。 
前期准备:确认安装vivado软件和VCS软件 
VCS软件最好安装VCS-MX的版本,可以混合编译Verilog和VHDL语言

由于在linux系统中个人用户各种权限被限制,导致很多地方无法正常使用软件之间的协调工作。 
为了以防万一,在此以个人用户去实现vivado调用VCS仿真。 
1、 配置好个人用户下的.bashrc(只要运行cd命令即可到达该目录下(利用命令 ll –la 可查看该文件))。如图: 
 
2、 若因为服务器上的权限问题无法正常使用vivado,则可利用makefile命令打开vivado,在makefile中添加以下命令:(注意最后面不能跟空格键) 
vivado: 
source /home2/qiuyquan/.bashrc &&\ 
/home/xjp/Xilinx/Vivado/2015.4/bin/vivado 
3、 在终端make vivado命令打开vivado。如图: 
 
4、 打开vivado后设置VCS的路径。在工具栏打开Tools→options→General→VCS Simulator install path下设置VCS的安装路径。我的安装路径如图: 
 
5、 设置好VCS路径后开始编译VCS IP库Tools→compiles Simulator Libraries。设置编译的IP库路径,以便以后可以随时调用该IP库,然后编译。设置如图: 
 
6、 编译完成后,打开vivado的工程。 
在simulation setting→simulation设置仿真工具,选择VCS。 
7、 点击run simulation。得到如下窗口。 
 
8、 若要继续仿真,则可在如下图设置仿真时间继续仿真和停止。 


在实现该过程中:主要会碰到以下问题: 
1、 linux系统的权限问题 
2、 vivado的license问题 
3、 VCS的软件问题(注意VCS和VCS-MX是不同版本) 
4、 Vivado的启动权限问题
————————————————
版权声明:本文为CSDN博主「南国之邱」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/qq_31935691/article/details/54977357

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值