数字IC设计随笔之五(Vivado调用VCS进行仿真验证)

1. 编译器件库
在Vivado软件中,选择Tools》Compile Simulation Libraries,如下图所示:
在这里插入图片描述
在simulator处选择Verilog Compiler Simulator(VCS)工具,Family选择所使用的FPGA器件系列。需要注意的是在运行Vivado时,必须保证Vivado中调用VCS时具有相应的权限,保守的操作是在同一个terminal中,先运行VCS环境变量,然后运行Vivado软件。最后点击Compile等待编译完成。
2. 配置第三方仿真软件路径
在这里插入图片描述
点击Setting打开工程设置对话框,在Project Setting中设置Simulation
在这里插入图片描述
此外,在Tool Setting中3rd Party Simulator中设置VCS路径和对应VCS的编译库,路径为前面第一步产生时的路径。

3. 导出仿真脚本
至此,可以在Vivado中选择SIMULATION》Run Simulation,仿真时,Vivado将会调用VCS软件,打开DVE图形化界面,之后将在DVE界面中进行仿真调试。
或者通过菜单File》Export》Export Simulation,设置Simulator为VCS,编译库路径(Compiled Library Location),导出脚本的路径,在导出路径目录中,进入vcs文件夹,在终端运行./sh运行仿真。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值