FPGA利用查找表实现正弦函数过程中的一些问题

1.在仿真时,发现从ROM读出的数据始终为0,并且modelsim界面出现如下错误:
在这里插入图片描述
该错误的原因是没有正确找到mif文件的位置。在利用quartus自带的IP核生成ROM时会生成一个.v文件,该文件里面有一段代码定位mif文件的位置,如下图所示:
在这里插入图片描述
需要将文件位置进行更正,这是与mif文件和modelsim运行根目录的相对位置相关的。我是将mif文件放在了与modelsim根目录相同的位置,所以需要改成如下图所示:
在这里插入图片描述
这样就不会出现以上编译错误了。

2.ROM读取有数据,但是怎么显示成正弦图像波形。
右键 输出信号 -> Format -> 左键 Analog(automatic)
这样就能正确显示正弦函数波形了。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值