以太网rxp rxn txp txn

以太网rxp rxn txp txn表示收发信号线。其中rx表示receive,tx表示transmit,由于以太网需要传输高速信号,需要用差分电路驱动,p就表示差分电路的正极,positive,n表示负,negative。

T代表Transmit,也就是发送;R代表Receive,也就是接收;X代表双方的收发需要交叉。
 
打个比方,两个人相互说话,是一个人嘴巴(T)发出的声音到另一个人的耳朵(R)里,这样才能相互交流。倘若嘴对嘴、耳对耳,结果会如何?

参考链接

将水晶头金属片面向自己(小尾巴在背面,朝下),从左到右线序
1 2 3 4 5 6 7 8
568A标准:白绿 绿 白橙 蓝 白蓝橙 白棕 棕
568B标准:白橙 橙 白绿 蓝 白蓝 绿 白棕 棕

RJ-45各脚功能(10BaseT/100BaseTX):
1、传输数据正极 Tx+
2、传输数据负极 Tx-
3、接收数据正极 Rx+
4、备用(当1236出现故障时,自动切入使用状态)
5、备用(当1236出现故障时,自动切入使用状态)
6、接收数据负极 Rx-
7、备用(当1236出现故障时,自动切入使用状态)
8、备用(当1236出现故障时,自动切入使用状态)

  • 7
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog代码 以下是一个简单的Verilog代码示例,用于实现RS422串口接收器。 ``` module RS422_RX ( input clk, input rst, input RXP, RXN, // RS422输入差分信号 output reg [7:0] data_out, output reg rx_done ); reg [2:0] state; reg [7:0] shift_reg; parameter IDLE = 3'b000; parameter START = 3'b001; parameter DATA = 3'b010; parameter STOP = 3'b011; parameter ERROR = 3'b100; always @(posedge clk or posedge rst) begin if (rst) begin state <= IDLE; shift_reg <= 8'h00; end else begin case (state) IDLE: begin if (RXP && !RXN) begin state <= START; shift_reg <= 8'h00; end else state <= IDLE; end START: begin if (RXP && !RXN) begin state <= DATA; shift_reg <= 8'h00; end else state <= ERROR; end DATA: begin shift_reg <= {shift_reg[6:0], RXP}; if (shift_reg == 8'h00) state <= STOP; else state <= DATA; end STOP: begin if (!RXP && RXN) begin data_out <= shift_reg; rx_done <= 1; state <= IDLE; end else state <= ERROR; end ERROR: begin state <= IDLE; shift_reg <= 8'h00; end endcase end end endmodule ``` 该代码实现了一个有限状态机,用于处理RS422输入差分信号并解码成8位数据。在接收到起始位后,它将在每个时钟周期中移位并将数据存储在移位寄存器中。当寄存器中的数据等于停止位时,它将输出数据并将rx_done信号设置为1。如果在任何时候检测到错误,则状态机将返回IDLE状态并清除移位寄存器。 测试代码 以下是一个简单的测试代码示例,用于测试RS422串口接收器。 ``` module RS422_RX_tb; reg clk, rst, RXP, RXN; wire [7:0] data_out; wire rx_done; RS422_RX UUT( .clk(clk), .rst(rst), .RXP(RXP), .RXN(RXN), .data_out(data_out), .rx_done(rx_done) ); initial begin clk = 0; rst = 1; RXP = 1; RXN = 0; #10 rst = 0; end always #5 clk = ~clk; initial begin #20 RXP = 0; #30 RXP = 1; #40 RXP = 0; #50 RXP = 1; #60 RXP = 0; #70 RXP = 1; #80 RXN = 0; #90 RXN = 1; #100 RXN = 0; #110 RXN = 1; #120 RXN = 0; #130 RXN = 1; end endmodule ``` 该测试代码将在时钟上升沿处生成一个RS422输入差分信号序列,并在每个时钟周期后对其进行反转。在第一次上升沿后,它将触发复位信号。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值