各种波形文件vcd,vpd,shm,fsdb仿真工具中所需要的


前言

仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。

一、Modelsim -WLF (Wave Log File)

示例:其中,run.do中的内容为要查看的波形信号。这个wlf文件只能由modelsim来生成,也只能通过modelsim来显示。不是一个通用的文件格式。


vsim -view vsim.wlf -do run.do

VCD(Value Change Dump)

IEEE1364标准(verilog hdl语言标准)中定义的一种ASCII文件,是通用的文件格式。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形。因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。

我们可以通过Verilog HDL的系统函数 d u m p f i l e 来 生 成 波 形 , 通 过 dumpfile 来生成波形,通过 dumpfiledumpvars的参数来规定我们抽取仿真中某些特定模块和信号的数据。特别说明的一点是,正是因为VCD记录了信号的完整变化信息,我们还可以通过VCD文件来估计设计的功耗,而这一点也是其他波形文件所不具备的。Encounter 和 PrimeTime PX (Prime Power)都可以通过输入网表文件,带功耗信息的库文件以及仿真后产生的VCD文件来实现功耗分析。示例如下:

//  在testbench中加入以下内容

initial

begin

$dumpfile("*.vcd");

$dumpvars(0,**);

end

二、VCS -vpd(Value Change Dump)

IEEE1364标准(Verilog HDL语言标准)中定义的一种ASCII文件,是通用的文件格式。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形。因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。

我们可以通过Verilog HDL的系统函数dumpvars的参数来规定我们抽取仿真中某些特定模块和信号的数据。示例如下:

代码如下(示例):

initial

begin

$dumpfile("*.vcd");

$dumpvars(0,**);

end

三、Debussy / Verdi -FSDB (Fast Signal DataBase)

Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modlesim 等可以通过加载Verdi 的PLI (一般位于安装目录下的share/pli 目录下) 而直接dump fsdb文件。

fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就 像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。我们知道VCD文件使用verilog内置的系统函数来实现 的,fsdb是通过verilog的PLI接口来实现的,例如$fsdbDumpfile, $fsdbDumpvars等

initial

begin

$fsdbDumpfile("*.fsdb");  //*代表生成的fsdb的文件名

$fsdbDumpvars(0,**);    //**代表测试文件名

end

四、 NC verilog -shm

Cadence公司 NC verilog 和Simvision支持的波形文件,实际上 .shm是一个目录,其中包含了.dsn和.trn两个文件。

使用NC Verilog 对同一testcase和相同dump波形条件的比较,产生shm文件的时间最短(废话,本来就是一个公司的),产生vcd文件的时间数倍于产生shm和 fsdb的时间。在笔者测试的例子中,产生的fsdb文件为十几MB,shm文件为几十MB,而vcd文件则要几个GB的大小。

总结

例如:上边讲述了几种常用的数字IC中的设计仿真工具中做需要的仿真文件。
  • 5
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值