FPGA边沿检测Verilog实现(包含上升沿,下降沿,双边沿)详细介绍


边沿检测

脉冲边沿的特性:两侧电平发生了变化


CODE

代码如下(示例):

module edge_detect(input clk,
                   input rst_n, 
                   input data_in, 
                   output raising_edge_detect, 
                   output falling_edge_detect, 
                   output double_edge_detect);
reg data_in_d1;
reg data_in_d2;

always @ (posedge clk,negedge rst_n)
begin
    if(!rst_n)
    begin data_in_d1 <= 1'b0; data_in_d2 <= 1'b0; end 
    else
    begin data_in_d1 <= data_in; data_in_d2 <= data_in_d1;end 
end 
assign raising_edge_detect = data_in_d1  & (~data_in_d2);//上升沿
assign falling_edge_detect = ~data_in_d1 &  data_in_d2;//下降沿
assign double_edge_detect  = data_in_d1 ^ data_in_d2;//双边沿
endmodule


RTL

经过
经过综合后的电路图如上图所示,可以根据上升,或者下降沿的信号变化来进行判断。

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值