边沿检测—Verilog

在设计中,需要检测某一信号发生0->1跳转的变化时,可以采用边沿检测。
边沿检测的实现思路如下图所示,为了保证采样信号沿的准确,首先对信号进行打拍处理。由波形图可以看出,上升沿出现时,可以由d1与d2非的与检测得到,同理可得下降沿和双沿检测。
transform:rotate(270deg)
实现代码如下:

/*
 * @Author: yaohao 
 * @Date: 2022-06-09 20:55:37 
 * @Last Modified by: yaohao
 * @Last Modified time: 2022-06-09 21:01:45
 */

module edge_detect (
    input clk,
    input rst,
    input data,
    output wire pose_detect,
    output wire neg_detect,
    output wire dou_detect 
);
    reg d1, d2;

  always @(posedge clk or negedge rst) begin
      if(!rst)
        begin
            d1 <= 0;
            d2 <= 0; 
        end
      else begin
            d1 <= data;
            d2 <= d1;
        end
  end

  assign pose_detect = d1 & (~d2);
  assign neg_detect  = (~d1) & d2;
  assign dou_detect  = d1 ^ d2;
    
endmodule
  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

借问众神明.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值