Verilog读取波形文件数据

Verilog读取波形文件数据

在这里插入图片描述
在这里插入图片描述

2、编写testbench测试文件,将测试数据用文件任务打开。代码如下

`timescale 1 ps/ 1 ps
module sin_tb();
 
reg clk;
reg [7:0] data_in;
reg rst;
                                            
wire [7:0]  data_out;
                       
sin i1 (
 .clk(clk),
 .data_in(data_in),
 .data_out(data_out),
 .rst(rst)
);
integer i=0;
reg[7:0] data_men[0:255];
initial                                               
begin
 clk=1'b0;
// i=8'd0;
 rst=1'b1;
 #100 rst=1'b0;
 $readmemh("F:/modelsim_work/sin/sin.txt",data_men);
end

always #10 clk=~clk;

在这里插入图片描述

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值