FPGA中常用的储存器件(单端口RAM、伪双端口RAM、双端口RAM、FIFO)

各储存器件介绍

储存器件说明
单端口RAM对应IP核中的Single-Port RAM,只有一组控制信号线、地址线和数据线,不能同时读写,某时刻只能在控制信号作用下作为数据输入或输出的一种
双端口RAM对应IP核中的Dual-Port RAM,有两组独立的控制信号线、地址线和数据线,两组之间互不影响,允许两个独立的系统同时对其进行随机性的访问。即共享式多端口存储器,可以同时读写(两个端口都可以进行读写操作
注意:双端口RAM同时对同一地址进行读写时,会出现仲裁
伪双端口RAM对应IP核中的Simple Dual-Port RAM,一个端口只读,一个端口只写
FIFO先进先出数据缓冲器,也是一个端口只读,另一个端口只写。

FIFO与RAM的区别

虽然FIFO和RAM都是一个端口只读,另一个端口只写,但是有着以下区别:

  • FIFO:数据储存形式为先进先出,没有地址线,对储存单元进行寻址操作
  • RAM:每个端口都有地址线,可以对储存单元寻址

-就是FIFO只能以特定的顺序去存储数据,不能通过寻址的方式去访问数据中的值,而RAM可以

FIFO与RAM的联系

FIFO既可以利用寄存器实现,也可以使用RAM实现
实际上,规模较大的FIFO一般都是用RAM实现的(规模特别小的FIFO才会使用寄存器实现)

应用场景

  • FIFO:常用于数据传输缓存,避免数据丢失,如跨时钟的数据传输就需要用到异步FIFO
  • RAM:常用于暂存指令或中间数据,指令cache和数据cache就有RAM来实现
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值